library IEEE; use IEEE.std_logic_1164.all; -- some little procedure to help me package BASIC is procedure HA( A,B: in STD_LOGIC_VECTOR(8 downto 0); SUM,COUT: out STD_LOGIC_VECTOR(8 downto 0) ); procedure FA( A,B,C: in STD_LOGIC_VECTOR(8 downto 0); SUM,COUT: out STD_LOGIC_VECTOR(8 downto 0) ); end BASIC; package body BASIC is procedure HA( A,B: in STD_LOGIC_VECTOR(8 downto 0); SUM,COUT: out STD_LOGIC_VECTOR(8 downto 0) ) is begin SUM := A xor B; COUT := A and B; end; procedure FA( A,B,C: in STD_LOGIC_VECTOR(8 downto 0); SUM,COUT: out STD_LOGIC_VECTOR(8 downto 0) ) is begin SUM := A xor B xor C; COUT := (A and B) or (A and C) or (B and C); end; end BASIC;