# Version:1.0 MMMC View Definition File

# Create library sets
#create_library_set -name typical_libs -timing {tech/lib/uk65lscllmvbbl_120c25_tc.lib  tech/lib/uk65lscllmvbbr_120c25_tc.lib  tech/lib/uk65lscllmvbbh_120c25_tc.lib }
create_library_set -name best_libs    -timing {tech/lib/uk65lscllmvbbl_132c0_bc.lib   tech/lib/uk65lscllmvbbr_132c0_bc.lib   tech/lib/uk65lscllmvbbh_132c0_bc.lib  }
create_library_set -name worst_libs   -timing {tech/lib/uk65lscllmvbbl_108c125_wc.lib tech/lib/uk65lscllmvbbr_108c125_wc.lib tech/lib/uk65lscllmvbbh_108c125_wc.lib}

# RC corners
#create_rc_corner -name rc_typical -cap_table tech/u65ll_TYPICAL.captbl
create_rc_corner -name rc_worst   -cap_table tech/u65ll_RCMAX.captbl
create_rc_corner -name rc_best    -cap_table tech/u65ll_RCMIN.captbl

# Delay corners
#create_delay_corner -name typical_corn -library_set typical_libs -rc_corner rc_typical
create_delay_corner -name best_corn    -library_set best_libs    -rc_corner rc_best
create_delay_corner -name worst_corn   -library_set worst_libs   -rc_corner rc_worst

# Constraint mode
create_constraint_mode -name func_mode -sdc_files [list src/block_mmmc_clock.sdc \
                                                        ../../common/encounter//block_mmmc_functional.sdc ]   
#create_constraint_mode -name test_mode -sdc_files [list src/shabziger_mmmc_test.sdc \
#                                                        src/block_mmmc_shared.sdc ]   

# Analysis views
create_analysis_view -name func_slow_view -constraint_mode func_mode -delay_corner worst_corn
#create_analysis_view -name test_slow_view -constraint_mode test_mode -delay_corner worst_corn

create_analysis_view -name hold_fast_view -constraint_mode func_mode -delay_corner best_corn
   
# Specify analysis views to use
set_analysis_view -setup {func_slow_view } -hold {hold_fast_view}







Generated on Tue Nov 22 15:16:34 CET 2011
Home