create_clock -period  2.0 [get_ports {ClkxCI}]

Generated on Tue Nov 22 15:16:34 CET 2011
Home