set_propagated_clock [get_ports {ClkxCI}]

set_input_delay  -2.0 -clock {ClkxCI} [remove_from_collection [all_inputs] [get_ports {ClkxCI}]]
set_output_delay -2.0 -clock {ClkxCI} [all_outputs]

set_drive 3.5   [all_inputs]
set_load 0.001 [all_outputs]


## The following are our test inputs, they are not required during the normal
## mode.
set_false_path -from [get_ports {ScanEnxTI}]


Generated on Tue Nov 22 15:16:34 CET 2011
Home