------------------------------------------------------------
-- Copyright: 2010 Integrated Sytems Laboratory, ETH Zurich
--            http://www.iis.ee.ethz.ch/~sha3
------------------------------------------------------------
library ieee;
use ieee.std_logic_textio.all;
use ieee.std_logic_1164.all;

package cubepkg is

  constant HWIDTH : integer := 256;

  type cubestate is array (0 to 31) of std_logic_vector(31 downto 0);
  type halfstate is array (0 to 15) of std_logic_vector(31 downto 0);

--   constant INITSTATE : cubestate := (x"2AEA2A61",
--                                     x"50F494D4",
--                                     x"2D538B8B",
--                                     x"4167D83E",
--                                     x"3FEE2313",
--                                     x"C701CF8C",
--                                     x"CC39968E",
--                                     x"50AC5695",
--                                     x"4D42C787",
--                                     x"A647A8B3",
--                                     x"97CF0BEF",
--                                     x"825B4537",
--                                     x"EEF864D2",
--                                     x"F22090C4",
--                                     x"D0E5CD33",
--                                     x"A23911AE",
--                                     x"FCD398D9",
--                                     x"148FE485",
--                                     x"1B017BEF",
--                                     x"B6444532",
--                                     x"6A536159",
--                                     x"2FF5781C",
--                                     x"91FA7934",
--                                     x"0DBADEA9",
--                                     x"D65C8A2B",
--                                     x"A5A70E75",
--                                     x"B1C62456",
--                                     x"BC796576",
--                                     x"1921C8F7",
--                                     x"E7989AF1",
--                                     x"7795D246",
--                                     x"D43E3B44");

constant INITSTATE : cubestate := (x"EA2BD4B4",
x"CCD6F29F",
x"63117E71",
x"35481EAE",
x"22512D5B",
x"E5D94E63",
x"7E624131",
x"F4CC12BE",
x"C2D0B696",
x"42AF2070",
x"D0720C35",
x"3361DA8C",
x"28CCECA4",
x"8EF8AD83",
x"4680AC00",
x"40E5FBAB",
x"D89041C3",
x"6107FBD5",
x"6C859D41",
x"F0B26679",
x"09392549",
x"5FA25603",
x"65C892FD",
x"93CB6285",
x"2AF2B5AE",
x"9E4B4E60",
x"774ABFDD",
x"85254725",
x"15815AEB",
x"4AB6AAD6",
x"9CDAF8AF",
x"D6032C0A");
  

end cubepkg;

Generated on Fri Sep 24 10:39:12 CEST 2010
Home