############################################################
## Copyright: 2011 Integrated Sytems Laboratory, ETH Zurich
##            http://www.iis.ee.ethz.ch/~sha3
############################################################
## set the wireload model from encounter
## this could be much better

## read in the wireload library
read_lib ../../shabziger/synopsys/encounter_wireload.lib

set_wire_load_mode top
current_design ethz_blake
set_wire_load_model -library shabziger_chip_flat -name ethz_blake.top.i_ethz_blake_flat

Generated on Tue Nov 22 15:16:34 CET 2011
Home