############################################################
## Copyright: 2011 Integrated Sytems Laboratory, ETH Zurich
##            http://www.iis.ee.ethz.ch/~sha3
############################################################
# Groestl is faster if we elaborate things separately 

# first the sub_bytes
elaborate groestl_subbytes
ungroup -flatten -all

elaborate groestl_p
ungroup -flatten [get_cells *mix*]

elaborate groestl_q
ungroup -flatten [get_cells *mix*]

elaborate ethz_groestl

Generated on Tue Nov 22 15:16:34 CET 2011
Home