############################################################
## Copyright: 2011 Integrated Sytems Laboratory, ETH Zurich
##            http://www.iis.ee.ethz.ch/~sha3
############################################################
## set the wireload model from encounter
## this could be much better

## read in the wireload library
read_lib ../../shabziger/synopsys/encounter_wireload.lib
set_wire_load_mode enclosed 

current_design ethz_groestl
set_wire_load_model -library shabziger_chip_flat -name ethz_groestl.top.i_ethz_groestl_flat

current_design groestl_p
set_wire_load_model -library shabziger_chip_flat -name groestl_p_test_1.top.i_ethz_groestl.i_p_flat

current_design groestl_q
set_wire_load_model -library shabziger_chip_flat -name groestl_q_test_3.top.i_ethz_groestl.i_q_flat


# Would not work, as the instances are not unique at this moment
#foreach NUM {0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15} {
#  current_design groestl_subbytes_${NUM}
#  ## this is an SBOX the wireload should be the same 
#  set_wire_load_model -library shabziger_chip_flat -name groestl_subbytes_15.top.i_ethz_groestl.i_p.i_subbytes_0_flat
#}

current_design ethz_groestl

Generated on Tue Nov 22 15:16:34 CET 2011
Home