############################################################
## Copyright: 2011 Integrated Sytems Laboratory, ETH Zurich
##            http://www.iis.ee.ethz.ch/~sha3
############################################################
## set the wireload model from encounter
## this could be much better

## read in the wireload library
read_lib ../../shabziger/synopsys/encounter_wireload.lib

# I only add one here, since the mixbytes is actually not so large
set_wire_load_mode top
current_design ${top_block}
set_wire_load_model -library shabziger_chip_flat -name gmu_groestl_top.top.i_gmu_groestl_flat


Generated on Tue Nov 22 15:16:34 CET 2011
Home