------------------------------------------------------------
-- Copyright: 2010 Integrated Sytems Laboratory, ETH Zurich
--            http://www.iis.ee.ethz.ch/~sha3
------------------------------------------------------------
library ieee;
use ieee.std_logic_textio.all;
use ieee.std_logic_1164.all;

package hamsipkg is

  constant HWIDTH : integer := 256;
  constant ArrLen : integer := 4;

  type sbox is array (0 to 3, 0 to 3) of std_logic_vector(31 downto 0);
  type halfstate is array (0 to 7) of std_logic_vector(31 downto 0);
  type roundconst is array (0 to 1, 0 to 3, 0 to 7) of std_logic_vector(31 downto 0);
  type data is array (0 to 3 ) of std_logic_vector(7 downto 0);
  type sboxrow is array (0 to 3) of std_logic_vector(31 downto 0);
  type gmatrix is array (0 to 15, 0 to 129) of integer;
  type temp is array (0 to 127, 0 to 15) of std_logic_vector(1 downto 0);
  type mexp is array (0 to 127) of std_logic_vector(1 downto 0);

  type lut is array (0 to 3, 0 to 255) of std_logic_vector(255 downto 0);
   
  --constant IV256 : halfstate := (x"76657273", x"69746569", x"74204c65", x"7576656e", x"2c204465", x"70617274", x"656d656e", x"7420456c");
  constant IV256 : halfstate := (x"76657273", x"69746569", x"74204c65", x"7576656e", x"2c204b61", x"74686f6c", x"69656b65", x"20556e69");


  constant ALPHA : roundconst := (((x"ff00f0f0",x"ccccaaaa",x"f0f0cccc",x"ff00aaaa",x"ccccaaaa",x"f0f0ff00",x"aaaacccc",x"f0f0ff00"),
                                   (x"f0f0cccc",x"aaaaff00",x"ccccff00",x"aaaaf0f0",x"aaaaf0f0",x"ff00cccc",x"ccccf0f0",x"ff00aaaa"),
                                   (x"ccccaaaa",x"ff00f0f0",x"ff00aaaa",x"f0f0cccc",x"f0f0ff00",x"ccccaaaa",x"f0f0ff00",x"aaaacccc"),
                                   (x"aaaaff00",x"f0f0cccc",x"aaaaf0f0",x"ccccff00",x"ff00cccc",x"aaaaf0f0",x"ff00aaaa",x"ccccf0f0")),
                                  ((x"caf9639c",x"0ff0f9c0",x"639c0ff0",x"caf9f9c0",x"0ff0f9c0",x"639ccaf9",x"f9c00ff0",x"639ccaf9"),
                                   (x"639c0ff0",x"f9c0caf9",x"0ff0caf9",x"f9c0639c",x"f9c0639c",x"caf90ff0",x"0ff0639c",x"caf9f9c0"),
                                   (x"0ff0f9c0",x"caf9639c",x"caf9f9c0",x"639c0ff0",x"639ccaf9",x"0ff0f9c0",x"639ccaf9",x"f9c00ff0"),
                                   (x"f9c0caf9",x"639c0ff0",x"f9c0639c",x"0ff0caf9",x"caf90ff0",x"f9c0639c",x"caf9f9c0",x"0ff0639c")));


  constant T256 : lut := (
    (
	(x"0000000000000000000000000000000000000000000000000000000000000000"),
	(x"749510005a2b467e88fd1d2b1ee68292cba9000090273769bbdcf407d0f4af61"),
	(x"cba9000090273769bbdcf407d0f4af61bf3c1000ca0c71173321e92cce122df3"),
	(x"bf3c1000ca0c71173321e92cce122df3749510005a2b467e88fd1d2b1ee68292"),
	(x"e92a2000b4578cfc11fa3a573dc9052497530000204f6ed377b9e80fa1ec5ec1"),
	(x"9dbf3000ee7cca829907277c232f87b65cfa0000b06859bacc651c087118f1a0"),
	(x"228320002470bb95aa26ce50ed3daa45286f1000ea431fc4449801236ffe7332"),
	(x"561630007e5bfdeb22dbd37bf3db28d7e3c610007a6428adff44f524bf0adc53"),
	(x"97530000204f6ed377b9e80fa1ec5ec17e7920009418e22f6643d2589c255be5"),
	(x"e3c610007a6428adff44f524bf0adc53b5d02000043fd546dd9f265f4cd1f484"),
	(x"5cfa0000b06859bacc651c087118f1a0c14530005e14933855623b7452377616"),
	(x"286f1000ea431fc4449801236ffe73320aec3000ce33a451eebecf7382c3d977"),
	(x"7e7920009418e22f6643d2589c255be5e92a2000b4578cfc11fa3a573dc90524"),
	(x"0aec3000ce33a451eebecf7382c3d977228320002470bb95aa26ce50ed3daa45"),
	(x"b5d02000043fd546dd9f265f4cd1f484561630007e5bfdeb22dbd37bf3db28d7"),
	(x"c14530005e14933855623b74523776169dbf3000ee7cca829907277c232f87b6"),
	(x"121b40005b17d9e88dfacfabce36cc72e65700004bb33a25848598ba1041003e"),
	(x"668e5000013c9f960507d280d0d04ee02dfe0000db940d4c3f596cbdc0b5af5f"),
	(x"d9b24000cb30ee8136263bac1ec26313596b100081bf4b32b7a47196de532dcd"),
	(x"ad275000911ba8ffbedb26870024e18192c2100011987c5b0c7885910ea782ac"),
	(x"fb316000ef4055149c00f5fcf3ffc956710400006bfc54f6f33c70b5b1ad5eff"),
	(x"8fa47000b56b136a14fde8d7ed194bc4baad0000fbdb639f48e084b26159f19e"),
	(x"309860007f67627d27dc01fb230b6637ce381000a1f025e1c01d99997fbf730c"),
	(x"440d7000254c2403af211cd03dede4a50591100031d712887bc16d9eaf4bdc6d"),
	(x"854840007b58b73bfa4327a46fda92b3982e2000dfabd80ae2c64ae28c645bdb"),
	(x"f1dd50002173f14572be3a8f713c1021538720004f8cef63591abee55c90f4ba"),
	(x"4ee14000eb7f8052419fd3a3bf2e3dd22712300015a7a91dd1e7a3ce42767628"),
	(x"3a745000b154c62cc962ce88a1c8bf40ecbb300085809e746a3b57c99282d949"),
	(x"6c626000cf0f3bc7ebb91df3521397970f7d2000ffe4b6d9957fa2ed2d88051a"),
	(x"18f7700095247db9634400d84cf51505c4d420006fc381b02ea356eafd7caa7b"),
	(x"a7cb60005f280cae5065e9f482e738f6b041300035e8c7cea65e4bc1e39a28e9"),
	(x"d35e700005034ad0d898f4df9c01ba647be83000a5cff0a71d82bfc6336e8788"),
	(x"e65700004bb33a25848598ba1041003ef44c400010a4e3cd097f5711de77cc4c"),
	(x"92c2100011987c5b0c7885910ea782ac3fe540008083d4a4b2a3a3160e83632d"),
	(x"2dfe0000db940d4c3f596cbdc0b5af5f4b705000daa892da3a5ebe3d1065e1bf"),
	(x"596b100081bf4b32b7a47196de532dcd80d950004a8fa5b381824a3ac0914ede"),
	(x"0f7d2000ffe4b6d9957fa2ed2d88051a631f400030eb8d1e7ec6bf1e7f9b928d"),
	(x"7be83000a5cff0a71d82bfc6336e8788a8b64000a0ccba77c51a4b19af6f3dec"),
	(x"c4d420006fc381b02ea356eafd7caa7bdc235000fae7fc094de75632b189bf7e"),
	(x"b041300035e8c7cea65e4bc1e39a28e9178a50006ac0cb60f63ba235617d101f"),
	(x"710400006bfc54f6f33c70b5b1ad5eff8a35600084bc01e26f3c8549425297a9"),
	(x"0591100031d712887bc16d9eaf4bdc6d419c6000149b368bd4e0714e92a638c8"),
	(x"baad0000fbdb639f48e084b26159f19e350970004eb070f55c1d6c658c40ba5a"),
	(x"ce381000a1f025e1c01d99997fbf730cfea07000de97479ce7c198625cb4153b"),
	(x"982e2000dfabd80ae2c64ae28c645bdb1d666000a4f36f3118856d46e3bec968"),
	(x"ecbb300085809e746a3b57c99282d949d6cf600034d45858a3599941334a6609"),
	(x"538720004f8cef63591abee55c90f4baa25a70006eff1e262ba4846a2dace49b"),
	(x"2712300015a7a91dd1e7a3ce4276762869f37000fed8294f9078706dfd584bfa"),
	(x"f44c400010a4e3cd097f5711de77cc4c121b40005b17d9e88dfacfabce36cc72"),
	(x"80d950004a8fa5b381824a3ac0914eded9b24000cb30ee8136263bac1ec26313"),
	(x"3fe540008083d4a4b2a3a3160e83632dad275000911ba8ffbedb26870024e181"),
	(x"4b705000daa892da3a5ebe3d1065e1bf668e5000013c9f960507d280d0d04ee0"),
	(x"1d666000a4f36f3118856d46e3bec968854840007b58b73bfa4327a46fda92b3"),
	(x"69f37000fed8294f9078706dfd584bfa4ee14000eb7f8052419fd3a3bf2e3dd2"),
	(x"d6cf600034d45858a3599941334a66093a745000b154c62cc962ce88a1c8bf40"),
	(x"a25a70006eff1e262ba4846a2dace49bf1dd50002173f14572be3a8f713c1021"),
	(x"631f400030eb8d1e7ec6bf1e7f9b928d6c626000cf0f3bc7ebb91df352139797"),
	(x"178a50006ac0cb60f63ba235617d101fa7cb60005f280cae5065e9f482e738f6"),
	(x"a8b64000a0ccba77c51a4b19af6f3decd35e700005034ad0d898f4df9c01ba64"),
	(x"dc235000fae7fc094de75632b189bf7e18f7700095247db9634400d84cf51505"),
	(x"8a35600084bc01e26f3c8549425297a9fb316000ef4055149c00f5fcf3ffc956"),
	(x"fea07000de97479ce7c198625cb4153b309860007f67627d27dc01fb230b6637"),
	(x"419c6000149b368bd4e0714e92a638c8440d7000254c2403af211cd03dede4a5"),
	(x"350970004eb070f55c1d6c658c40ba5a8fa47000b56b136a14fde8d7ed194bc4"),
	(x"e4788000859673c1b5fb245229cc5edf045f00009c4a93c962fc79d0731ebdc2"),
	(x"90ed9000dfbd35bf3d063979372adc4dcff600000c6da4a0d9208dd7a3ea12a3"),
	(x"2fd1800015b144a80e27d055f938f1bebb6310005646e2de51dd90fcbd0c9031"),
	(x"5b4490004f9a02d686dacd7ee7de732c70ca1000c661d5b7ea0164fb6df83f50"),
	(x"0d52a00031c1ff3da4011e0514055bfb930c0000bc05fd1a154591dfd2f2e303"),
	(x"79c7b0006beab9432cfc032e0ae3d96958a500002c22ca73ae9965d802064c62"),
	(x"c6fba000a1e6c8541fddea02c4f1f49a2c30100076098c0d266478f31ce0cef0"),
	(x"b26eb000fbcd8e2a9720f729da177608e7991000e62ebb649db88cf4cc146191"),
	(x"732b8000a5d91d12c242cc5d8820001e7a262000085271e604bfab88ef3be627"),
	(x"07be9000fff25b6c4abfd17696c6828cb18f20009875468fbf635f8f3fcf4946"),
	(x"b882800035fe2a7b799e385a58d4af7fc51a3000c25e00f1379e42a42129cbd4"),
	(x"cc1790006fd56c05f163257146322ded0eb33000527937988c42b6a3f1dd64b5"),
	(x"9a01a000118e91eed3b8f60ab5e9053aed752000281d1f35730643874ed7b8e6"),
	(x"ee94b0004ba5d7905b45eb21ab0f87a826dc2000b83a285cc8dab7809e231787"),
	(x"51a8a00081a9a6876864020d651daa5b52493000e2116e224027aaab80c59515"),
	(x"253db000db82e0f9e0991f267bfb28c999e030007236594bfbfb5eac50313a74"),
	(x"f663c000de81aa293801ebf9e7fa92ade2080000d7f9a9ece679e16a635fbdfc"),
	(x"82f6d00084aaec57b0fcf6d2f91c103f29a1000047de9e855da5156db3ab129d"),
	(x"3dcac0004ea69d4083dd1ffe370e3dcc5d3410001df5d8fbd5580846ad4d900f"),
	(x"495fd000148ddb3e0b2002d529e8bf5e969d10008dd2ef926e84fc417db93f6e"),
	(x"1f49e0006ad626d529fbd1aeda339789755b0000f7b6c73f91c00965c2b3e33d"),
	(x"6bdcf00030fd60aba106cc85c4d5151bbef200006791f0562a1cfd6212474c5c"),
	(x"d4e0e000faf111bc922725a90ac738e8ca6710003dbab628a2e1e0490ca1cece"),
	(x"a075f000a0da57c21ada38821421ba7a01ce1000ad9d8141193d144edc5561af"),
	(x"6130c000fecec4fa4fb803f64616cc6c9c71200043e14bc3803a3332ff7ae619"),
	(x"15a5d000a4e58284c7451edd58f04efe57d82000d3c67caa3be6c7352f8e4978"),
	(x"aa99c0006ee9f393f464f7f196e2630d234d300089ed3ad4b31bda1e3168cbea"),
	(x"de0cd00034c2b5ed7c99eada8804e19fe8e4300019ca0dbd08c72e19e19c648b"),
	(x"881ae0004a9948065e4239a17bdfc9480b22200063ae2510f783db3d5e96b8d8"),
	(x"fc8ff00010b20e78d6bf248a65394bdac08b2000f38912794c5f2f3a8e6217b9"),
	(x"43b3e000dabe7f6fe59ecda6ab2b6629b41e3000a9a25407c4a232119084952b"),
	(x"3726f000809539116d63d08db5cde4bb7fb730003985636e7f7ec61640703a4a"),
	(x"022f8000ce2549e4317ebce8398d5ee1f01340008cee70046b832ec1ad69718e"),
	(x"76ba9000940e0f9ab983a1c3276bdc733bba40001cc9476dd05fdac67d9ddeef"),
	(x"c98680005e027e8d8aa248efe979f1804f2f500046e2011358a2c7ed637b5c7d"),
	(x"bd139000042938f3025f55c4f79f731284865000d6c5367ae37e33eab38ff31c"),
	(x"eb05a0007a72c518208486bf04445bc567404000aca11ed71c3ac6ce0c852f4f"),
	(x"9f90b00020598366a8799b941aa2d957ace940003c8629bea7e632c9dc71802e"),
	(x"20aca000ea55f2719b5872b8d4b0f4a4d87c500066ad6fc02f1b2fe2c29702bc"),
	(x"5439b000b07eb40f13a56f93ca56763613d55000f68a58a994c7dbe51263addd"),
	(x"957c8000ee6a273746c754e7986100208e6a600018f6922b0dc0fc99314c2a6b"),
	(x"e1e99000b4416149ce3a49cc868782b245c3600088d1a542b61c089ee1b8850a"),
	(x"5ed580007e4d105efd1ba0e04895af4131567000d2fae33c3ee115b5ff5e0798"),
	(x"2a4090002466562075e6bdcb56732dd3faff700042ddd455853de1b22faaa8f9"),
	(x"7c56a0005a3dabcb573d6eb0a5a805041939600038b9fcf87a79149690a074aa"),
	(x"08c3b0000016edb5dfc0739bbb4e8796d2906000a89ecb91c1a5e0914054dbcb"),
	(x"b7ffa000ca1a9ca2ece19ab7755caa65a6057000f2b58def4958fdba5eb25959"),
	(x"c36ab0009031dadc641c879c6bba28f76dac70006292ba86f28409bd8e46f638"),
	(x"1034c0009532900cbc847343f7bb929316444000c75d4a21ef06b67bbd2871b0"),
	(x"64a1d000cf19d67234796e68e95d1001dded4000577a7d4854da427c6ddcded1"),
	(x"db9dc0000515a76507588744274f3df2a97850000d513b36dc275f57733a5c43"),
	(x"af08d0005f3ee11b8fa59a6f39a9bf6062d150009d760c5f67fbab50a3cef322"),
	(x"f91ee00021651cf0ad7e4914ca7297b781174000e71224f298bf5e741cc42f71"),
	(x"8d8bf0007b4e5a8e2583543fd49415254abe40007735139b2363aa73cc308010"),
	(x"32b7e000b1422b9916a2bd131a8638d63e2b50002d1e55e5ab9eb758d2d60282"),
	(x"4622f000eb696de79e5fa0380460ba44f5825000bd39628c1042435f0222ade3"),
	(x"8767c000b57dfedfcb3d9b4c5657cc52683d60005345a80e89456423210d2a55"),
	(x"f3f2d000ef56b8a143c0866748b14ec0a3946000c3629f6732999024f1f98534"),
	(x"4ccec000255ac9b670e16f4b86a36333d70170009949d919ba648d0fef1f07a6"),
	(x"385bd0007f718fc8f81c72609845e1a11ca87000096eee7001b879083feba8c7"),
	(x"6e4de000012a7223dac7a11b6b9ec976ff6e6000730ac6ddfefc8c2c80e17494"),
	(x"1ad8f0005b01345d523abc3075784be434c76000e32df1b44520782b5015dbf5"),
	(x"a5e4e000910d454a611b551cbb6a661740527000b906b7cacddd65004ef35967"),
	(x"d171f000cb260334e9e64837a58ce4858bfb7000292180a3760191079e07f606"),
	(x"045f00009c4a93c962fc79d0731ebdc2e027800019dce008d7075d825ad2e31d"),
	(x"70ca1000c661d5b7ea0164fb6df83f502b8e800089fbd7616cdba9858a264c7c"),
	(x"cff600000c6da4a0d9208dd7a3ea12a35f1b9000d3d0911fe426b4ae94c0ceee"),
	(x"bb6310005646e2de51dd90fcbd0c903194b2900043f7a6765ffa40a94434618f"),
	(x"ed752000281d1f35730643874ed7b8e67774800039938edba0beb58dfb3ebddc"),
	(x"99e030007236594bfbfb5eac50313a74bcdd8000a9b4b9b21b62418a2bca12bd"),
	(x"26dc2000b83a285cc8dab7809e231787c8489000f39fffcc939f5ca1352c902f"),
	(x"52493000e2116e224027aaab80c5951503e1900063b8c8a52843a8a6e5d83f4e"),
	(x"930c0000bc05fd1a154591dfd2f2e3039e5ea0008dc40227b1448fdac6f7b8f8"),
	(x"e7991000e62ebb649db88cf4cc14619155f7a0001de3354e0a987bdd16031799"),
	(x"58a500002c22ca73ae9965d802064c622162b00047c87330826566f608e5950b"),
	(x"2c30100076098c0d266478f31ce0cef0eacbb000d7ef445939b992f1d8113a6a"),
	(x"7a262000085271e604bfab88ef3be627090da000ad8b6cf4c6fd67d5671be639"),
	(x"0eb33000527937988c42b6a3f1dd64b5c2a4a0003dac5b9d7d2193d2b7ef4958"),
	(x"b18f20009875468fbf635f8f3fcf4946b631b00067871de3f5dc8ef9a909cbca"),
	(x"c51a3000c25e00f1379e42a42129cbd47d98b000f7a02a8a4e007afe79fd64ab"),
	(x"16444000c75d4a21ef06b67bbd2871b006708000526fda2d5382c5384a93e323"),
	(x"62d150009d760c5f67fbab50a3cef322cdd98000c248ed44e85e313f9a674c42"),
	(x"dded4000577a7d4854da427c6ddcded1b94c90009863ab3a60a32c148481ced0"),
	(x"a97850000d513b36dc275f57733a5c4372e5900008449c53db7fd813547561b1"),
	(x"ff6e6000730ac6ddfefc8c2c80e17494912380007220b4fe243b2d37eb7fbde2"),
	(x"8bfb7000292180a3760191079e07f6065a8a8000e20783979fe7d9303b8b1283"),
	(x"34c76000e32df1b44520782b5015dbf52e1f9000b82cc5e9171ac41b256d9011"),
	(x"40527000b906b7cacddd65004ef35967e5b69000280bf280acc6301cf5993f70"),
	(x"81174000e71224f298bf5e741cc42f717809a000c677380235c11760d6b6b8c6"),
	(x"f5825000bd39628c1042435f0222ade3b3a0a00056500f6b8e1de367064217a7"),
	(x"4abe40007735139b2363aa73cc308010c735b0000c7b491506e0fe4c18a49535"),
	(x"3e2b50002d1e55e5ab9eb758d2d602820c9cb0009c5c7e7cbd3c0a4bc8503a54"),
	(x"683d60005345a80e89456423210d2a55ef5aa000e63856d14278ff6f775ae607"),
	(x"1ca87000096eee7001b879083feba8c724f3a000761f61b8f9a40b68a7ae4966"),
	(x"a3946000c3629f6732999024f1f985345066b0002c3427c671591643b948cbf4"),
	(x"d70170009949d919ba648d0fef1f07a69bcfb000bc1310afca85e24469bc6495"),
	(x"e2080000d7f9a9ece679e16a635fbdfc146bc000097803c5de780a9384a52f51"),
	(x"969d10008dd2ef926e84fc417db93f6edfc2c000995f34ac65a4fe9454518030"),
	(x"29a1000047de9e855da5156db3ab129dab57d000c37472d2ed59e3bf4ab702a2"),
	(x"5d3410001df5d8fbd5580846ad4d900f60fed000535345bb568517b89a43adc3"),
	(x"0b22200063ae2510f783db3d5e96b8d88338c00029376d16a9c1e29c25497190"),
	(x"7fb730003985636e7f7ec61640703a4a4891c000b9105a7f121d169bf5bddef1"),
	(x"c08b2000f38912794c5f2f3a8e6217b93c04d000e33b1c019ae00bb0eb5b5c63"),
	(x"b41e3000a9a25407c4a232119084952bf7add000731c2b68213cffb73baff302"),
	(x"755b0000f7b6c73f91c00965c2b3e33d6a12e0009d60e1eab83bd8cb188074b4"),
	(x"01ce1000ad9d8141193d144edc5561afa1bbe0000d47d68303e72cccc874dbd5"),
	(x"bef200006791f0562a1cfd6212474c5cd52ef000576c90fd8b1a31e7d6925947"),
	(x"ca6710003dbab628a2e1e0490ca1cece1e87f000c74ba79430c6c5e00666f626"),
	(x"9c71200043e14bc3803a3332ff7ae619fd41e000bd2f8f39cf8230c4b96c2a75"),
	(x"e8e4300019ca0dbd08c72e19e19c648b36e8e0002d08b850745ec4c369988514"),
	(x"57d82000d3c67caa3be6c7352f8e4978427df0007723fe2efca3d9e8777e0786"),
	(x"234d300089ed3ad4b31bda1e3168cbea89d4f000e704c947477f2defa78aa8e7"),
	(x"f01340008cee70046b832ec1ad69718ef23cc00042cb39e05afd922994e42f6f"),
	(x"84865000d6c5367ae37e33eab38ff31c3995c000d2ec0e89e121662e4410800e"),
	(x"3bba40001cc9476dd05fdac67d9ddeef4d00d00088c748f769dc7b055af6029c"),
	(x"4f2f500046e2011358a2c7ed637b5c7d86a9d00018e07f9ed2008f028a02adfd"),
	(x"1939600038b9fcf87a79149690a074aa656fc000628457332d447a26350871ae"),
	(x"6dac70006292ba86f28409bd8e46f638aec6c000f2a3605a96988e21e5fcdecf"),
	(x"d2906000a89ecb91c1a5e0914054dbcbda53d000a88826241e65930afb1a5c5d"),
	(x"a6057000f2b58def4958fdba5eb2595911fad00038af114da5b9670d2beef33c"),
	(x"67404000aca11ed71c3ac6ce0c852f4f8c45e000d6d3dbcf3cbe407108c1748a"),
	(x"13d55000f68a58a994c7dbe51263addd47ece00046f4eca68762b476d835dbeb"),
	(x"ace940003c8629bea7e632c9dc71802e3379f0001cdfaad80f9fa95dc6d35979"),
	(x"d87c500066ad6fc02f1b2fe2c29702bcf8d0f0008cf89db1b4435d5a1627f618"),
	(x"8e6a600018f6922b0dc0fc99314c2a6b1b16e000f69cb51c4b07a87ea92d2a4b"),
	(x"faff700042ddd455853de1b22faaa8f9d0bfe00066bb8275f0db5c7979d9852a"),
	(x"45c3600088d1a542b61c089ee1b8850aa42af0003c90c40b78264152673f07b8"),
	(x"31567000d2fae33c3ee115b5ff5e07986f83f000acb7f362c3fab555b7cba8d9"),
	(x"e027800019dce008d7075d825ad2e31de4788000859673c1b5fb245229cc5edf"),
	(x"94b2900043f7a6765ffa40a94434618f2fd1800015b144a80e27d055f938f1be"),
	(x"2b8e800089fbd7616cdba9858a264c7c5b4490004f9a02d686dacd7ee7de732c"),
	(x"5f1b9000d3d0911fe426b4ae94c0ceee90ed9000dfbd35bf3d063979372adc4d"),
	(x"090da000ad8b6cf4c6fd67d5671be639732b8000a5d91d12c242cc5d8820001e"),
	(x"7d98b000f7a02a8a4e007afe79fd64abb882800035fe2a7b799e385a58d4af7f"),
	(x"c2a4a0003dac5b9d7d2193d2b7ef4958cc1790006fd56c05f163257146322ded"),
	(x"b631b00067871de3f5dc8ef9a909cbca07be9000fff25b6c4abfd17696c6828c"),
	(x"7774800039938edba0beb58dfb3ebddc9a01a000118e91eed3b8f60ab5e9053a"),
	(x"03e1900063b8c8a52843a8a6e5d83f4e51a8a00081a9a6876864020d651daa5b"),
	(x"bcdd8000a9b4b9b21b62418a2bca12bd253db000db82e0f9e0991f267bfb28c9"),
	(x"c8489000f39fffcc939f5ca1352c902fee94b0004ba5d7905b45eb21ab0f87a8"),
	(x"9e5ea0008dc40227b1448fdac6f7b8f80d52a00031c1ff3da4011e0514055bfb"),
	(x"eacbb000d7ef445939b992f1d8113a6ac6fba000a1e6c8541fddea02c4f1f49a"),
	(x"55f7a0001de3354e0a987bdd16031799b26eb000fbcd8e2a9720f729da177608"),
	(x"2162b00047c87330826566f608e5950b79c7b0006beab9432cfc032e0ae3d969"),
	(x"f23cc00042cb39e05afd922994e42f6f022f8000ce2549e4317ebce8398d5ee1"),
	(x"86a9d00018e07f9ed2008f028a02adfdc98680005e027e8d8aa248efe979f180"),
	(x"3995c000d2ec0e89e121662e4410800ebd139000042938f3025f55c4f79f7312"),
	(x"4d00d00088c748f769dc7b055af6029c76ba9000940e0f9ab983a1c3276bdc73"),
	(x"1b16e000f69cb51c4b07a87ea92d2a4b957c8000ee6a273746c754e798610020"),
	(x"6f83f000acb7f362c3fab555b7cba8d95ed580007e4d105efd1ba0e04895af41"),
	(x"d0bfe00066bb8275f0db5c7979d9852a2a4090002466562075e6bdcb56732dd3"),
	(x"a42af0003c90c40b78264152673f07b8e1e99000b4416149ce3a49cc868782b2"),
	(x"656fc000628457332d447a26350871ae7c56a0005a3dabcb573d6eb0a5a80504"),
	(x"11fad00038af114da5b9670d2beef33cb7ffa000ca1a9ca2ece19ab7755caa65"),
	(x"aec6c000f2a3605a96988e21e5fcdecfc36ab0009031dadc641c879c6bba28f7"),
	(x"da53d000a88826241e65930afb1a5c5d08c3b0000016edb5dfc0739bbb4e8796"),
	(x"8c45e000d6d3dbcf3cbe407108c1748aeb05a0007a72c518208486bf04445bc5"),
	(x"f8d0f0008cf89db1b4435d5a1627f61820aca000ea55f2719b5872b8d4b0f4a4"),
	(x"47ece00046f4eca68762b476d835dbeb5439b000b07eb40f13a56f93ca567636"),
	(x"3379f0001cdfaad80f9fa95dc6d359799f90b00020598366a8799b941aa2d957"),
	(x"06708000526fda2d5382c5384a93e3231034c0009532900cbc847343f7bb9293"),
	(x"72e5900008449c53db7fd813547561b1db9dc0000515a76507588744274f3df2"),
	(x"cdd98000c248ed44e85e313f9a674c42af08d0005f3ee11b8fa59a6f39a9bf60"),
	(x"b94c90009863ab3a60a32c148481ced064a1d000cf19d67234796e68e95d1001"),
	(x"ef5aa000e63856d14278ff6f775ae6078767c000b57dfedfcb3d9b4c5657cc52"),
	(x"9bcfb000bc1310afca85e24469bc64954ccec000255ac9b670e16f4b86a36333"),
	(x"24f3a000761f61b8f9a40b68a7ae4966385bd0007f718fc8f81c72609845e1a1"),
	(x"5066b0002c3427c671591643b948cbf4f3f2d000ef56b8a143c0866748b14ec0"),
	(x"912380007220b4fe243b2d37eb7fbde26e4de000012a7223dac7a11b6b9ec976"),
	(x"e5b69000280bf280acc6301cf5993f70a5e4e000910d454a611b551cbb6a6617"),
	(x"5a8a8000e20783979fe7d9303b8b1283d171f000cb260334e9e64837a58ce485"),
	(x"2e1f9000b82cc5e9171ac41b256d90111ad8f0005b01345d523abc3075784be4"),
	(x"7809a000c677380235c11760d6b6b8c6f91ee00021651cf0ad7e4914ca7297b7"),
	(x"0c9cb0009c5c7e7cbd3c0a4bc8503a5432b7e000b1422b9916a2bd131a8638d6"),
	(x"b3a0a00056500f6b8e1de367064217a74622f000eb696de79e5fa0380460ba44"),
	(x"c735b0000c7b491506e0fe4c18a495358d8bf0007b4e5a8e2583543fd4941525"),
	(x"146bc000097803c5de780a9384a52f51f663c000de81aa293801ebf9e7fa92ad"),
	(x"60fed000535345bb568517b89a43adc33dcac0004ea69d4083dd1ffe370e3dcc"),
	(x"dfc2c000995f34ac65a4fe9454518030495fd000148ddb3e0b2002d529e8bf5e"),
	(x"ab57d000c37472d2ed59e3bf4ab702a282f6d00084aaec57b0fcf6d2f91c103f"),
	(x"fd41e000bd2f8f39cf8230c4b96c2a756130c000fecec4fa4fb803f64616cc6c"),
	(x"89d4f000e704c947477f2defa78aa8e7aa99c0006ee9f393f464f7f196e2630d"),
	(x"36e8e0002d08b850745ec4c369988514de0cd00034c2b5ed7c99eada8804e19f"),
	(x"427df0007723fe2efca3d9e8777e078615a5d000a4e58284c7451edd58f04efe"),
	(x"8338c00029376d16a9c1e29c25497190881ae0004a9948065e4239a17bdfc948"),
	(x"f7add000731c2b68213cffb73baff30243b3e000dabe7f6fe59ecda6ab2b6629"),
	(x"4891c000b9105a7f121d169bf5bddef13726f000809539116d63d08db5cde4bb"),
	(x"3c04d000e33b1c019ae00bb0eb5b5c63fc8ff00010b20e78d6bf248a65394bda"),
	(x"6a12e0009d60e1eab83bd8cb188074b41f49e0006ad626d529fbd1aeda339789"),
	(x"1e87f000c74ba79430c6c5e00666f626d4e0e000faf111bc922725a90ac738e8"),
	(x"a1bbe0000d47d68303e72cccc874dbd5a075f000a0da57c21ada38821421ba7a"),
	(x"d52ef000576c90fd8b1a31e7d69259476bdcf00030fd60aba106cc85c4d5151b")
    ),(
	(x"0000000000000000000000000000000000000000000000000000000000000000"),
	(x"b7a401008a1f31d88589d8abe6c46464734c0000956fa7d6a29d12976ee56854"),
	(x"734c0000956fa7d6a29d12976ee56854c4e801001f70960e2714ca3c88210c30"),
	(x"c4e801001f70960e2714ca3c88210c30b7a401008a1f31d88589d8abe6c46464"),
	(x"a7b802001f12843360e5f9f29e147576ee260000124b683e80c2d68f3bf3ab2c"),
	(x"101c0300950db5ebe56c215978d011129d6a00008724cfe8225fc4185516c378"),
	(x"d4f402008a7d23e5c278eb65f0f11d222ace01000d3bfe30a7d61cb3b3d2a71c"),
	(x"635003000062123d47f133ce1635794659820100985459e6054b0e24dd37cf48"),
	(x"ee260000124b683e80c2d68f3bf3ab2c499e02000d59ec0de0272f7da5e7de5a"),
	(x"59820100985459e6054b0e24dd37cf483ad2020098364bdb42ba3deacb02b60e"),
	(x"9d6a00008724cfe8225fc4185516c3788d76030012297a03c733e5412dc6d26a"),
	(x"2ace01000d3bfe30a7d61cb3b3d2a71cfe3a03008746ddd565aef7d64323ba3e"),
	(x"499e02000d59ec0de0272f7da5e7de5aa7b802001f12843360e5f9f29e147576"),
	(x"fe3a03008746ddd565aef7d64323ba3ed4f402008a7d23e5c278eb65f0f11d22"),
	(x"3ad2020098364bdb42ba3deacb02b60e635003000062123d47f133ce16357946"),
	(x"8d76030012297a03c733e5412dc6d26a101c0300950db5ebe56c215978d01112"),
	(x"8f3e04000d9dc8776fc548e1898d2cd614bd00002fba37ff6a72e5bb247febe6"),
	(x"389a05008782f9afea4c904a6f4948b267f10000bad59029c8eff72c4a9a83b2"),
	(x"fc72040098f26fa1cd585a76e7684482d055010030caa1f14d662f87ac5ee7d6"),
	(x"4bd6050012ed5e7948d182dd01ac20e6a3190100a5a50627effb3d10c2bb8f82"),
	(x"28860600128f4c440f20b113179959a0fa9b00003df15fc1eab033341f8c40ca"),
	(x"9f22070098907d9c8aa969b8f15d3dc489d70000a89ef817482d21a37169289e"),
	(x"5bca060087e0eb92adbda384797c31f43e7301002281c9cfcda4f90897ad4cfa"),
	(x"ec6e07000dffda4a28347b2f9fb855904d3f0100b7ee6e196f39eb9ff94824ae"),
	(x"611804001fd6a049ef079e6eb27e87fa5d23020022e3dbf28a55cac6819835bc"),
	(x"d6bc050095c991916a8e46c554bae39e2e6f0200b78c7c2428c8d851ef7d5de8"),
	(x"125404008ab9079f4d9a8cf9dc9befae99cb03003d934dfcad4100fa09b9398c"),
	(x"a5f0050000a63647c81354523a5f8bcaea870300a8fcea2a0fdc126d675c51d8"),
	(x"c6a0060000c4247a8fe2679c2c6af28cb305020030a8b3cc0a971c49ba6b9e90"),
	(x"710407008adb15a20a6bbf37caae96e8c0490200a5c7141aa80a0eded48ef6c4"),
	(x"b5ec060095ab83ac2d7f750b428f9ad877ed03002fd825c22d83d675324a92a0"),
	(x"024807001fb4b274a8f6ada0a44bfebc04a10300bab782148f1ec4e25caffaf4"),
	(x"14bd00002fba37ff6a72e5bb247febe69b8304002227ff8805b7ad5aadf2c730"),
	(x"a3190100a5a50627effb3d10c2bb8f82e8cf0400b748585ea72abfcdc317af64"),
	(x"67f10000bad59029c8eff72c4a9a83b25f6b05003d57698622a3676625d3cb00"),
	(x"d055010030caa1f14d662f87ac5ee7d62c270500a838ce50803e75f14b36a354"),
	(x"b305020030a8b3cc0a971c49ba6b9e9075a50400306c97b685757bd596016c1c"),
	(x"04a10300bab782148f1ec4e25caffaf406e90400a503306027e86942f8e40448"),
	(x"c0490200a5c7141aa80a0eded48ef6c4b14d05002f1c01b8a261b1e91e20602c"),
	(x"77ed03002fd825c22d83d675324a92a0c2010500ba73a66e00fca37e70c50878"),
	(x"fa9b00003df15fc1eab033341f8c40cad21d06002f7e1385e59082270815196a"),
	(x"4d3f0100b7ee6e196f39eb9ff94824aea1510600ba11b453470d90b066f0713e"),
	(x"89d70000a89ef817482d21a37169289e16f50700300e858bc284481b8034155a"),
	(x"3e7301002281c9cfcda4f90897ad4cfa65b90700a561225d60195a8ceed17d0e"),
	(x"5d23020022e3dbf28a55cac6819835bc3c3b06003d357bbb655254a833e6b246"),
	(x"ea870300a8fcea2a0fdc126d675c51d84f770600a85adc6dc7cf463f5d03da12"),
	(x"2e6f0200b78c7c2428c8d851ef7d5de8f8d307002245edb542469e94bbc7be76"),
	(x"99cb03003d934dfcad4100fa09b9398c8b9f0700b72a4a63e0db8c03d522d622"),
	(x"9b8304002227ff8805b7ad5aadf2c7308f3e04000d9dc8776fc548e1898d2cd6"),
	(x"2c270500a838ce50803e75f14b36a354fc72040098f26fa1cd585a76e7684482"),
	(x"e8cf0400b748585ea72abfcdc317af644bd6050012ed5e7948d182dd01ac20e6"),
	(x"5f6b05003d57698622a3676625d3cb00389a05008782f9afea4c904a6f4948b2"),
	(x"3c3b06003d357bbb655254a833e6b246611804001fd6a049ef079e6eb27e87fa"),
	(x"8b9f0700b72a4a63e0db8c03d522d622125404008ab9079f4d9a8cf9dc9befae"),
	(x"4f770600a85adc6dc7cf463f5d03da12a5f0050000a63647c81354523a5f8bca"),
	(x"f8d307002245edb542469e94bbc7be76d6bc050095c991916a8e46c554bae39e"),
	(x"75a50400306c97b685757bd596016c1cc6a0060000c4247a8fe2679c2c6af28c"),
	(x"c2010500ba73a66e00fca37e70c50878b5ec060095ab83ac2d7f750b428f9ad8"),
	(x"06e90400a503306027e86942f8e40448024807001fb4b274a8f6ada0a44bfebc"),
	(x"b14d05002f1c01b8a261b1e91e20602c710407008adb15a20a6bbf37caae96e8"),
	(x"d21d06002f7e1385e59082270815196a28860600128f4c440f20b113179959a0"),
	(x"65b90700a561225d60195a8ceed17d0e5bca060087e0eb92adbda384797c31f4"),
	(x"a1510600ba11b453470d90b066f0713eec6e07000dffda4a28347b2f9fb85590"),
	(x"16f50700300e858bc284481b8034155a9f22070098907d9c8aa969b8f15d3dc4"),
	(x"de320800288350fe71852ac7a6bf9f96e18b00005459887dbf1283d31b666a73"),
	(x"69960900a29c6126f40cf26c407bfbf292c70000c1362fab1d8f914475830227"),
	(x"ad7e0800bdecf728d3183850c85af7c2256301004b291e73980649ef93476643"),
	(x"1ada090037f3c6f05691e0fb2e9e93a6562f0100de46b9a53a9b5b78fda20e17"),
	(x"798a0a003791d4cd1160d33538abeae00fad00004612e0433fd0555c2095c15f"),
	(x"ce2e0b00bd8ee51594e90b9ede6f8e847ce10000d37d47959d4d47cb4e70a90b"),
	(x"0ac60a00a2fe731bb3fdc1a2564e82b4cb4501005962764d18c49f60a8b4cd6f"),
	(x"bd620b0028e142c336741909b08ae6d0b8090100cc0dd19bba598df7c651a53b"),
	(x"301408003ac838c0f147fc489d4c34baa8150200590064705f35acaebe81b429"),
	(x"87b00900b0d7091874ce24e37b8850dedb590200cc6fc3a6fda8be39d064dc7d"),
	(x"43580800afa79f1653daeedff3a95cee6cfd03004670f27e7821669236a0b819"),
	(x"f4fc090025b8aeced6533674156d388a1fb10300d31f55a8dabc74055845d04d"),
	(x"97ac0a0025dabcf391a205ba035841cc463302004b4b0c4edff77a2185721f05"),
	(x"20080b00afc58d2b142bdd11e59c25a8357f0200de24ab987d6a68b6eb977751"),
	(x"e4e00a00b0b51b25333f172d6dbd299882db0300543b9a40f8e3b01d0d531335"),
	(x"53440b003aaa2afdb6b6cf868b794dfcf1970300c1543d965a7ea28a63b67b61"),
	(x"510c0c00251e98891e4062262f32b340f53600007be3bf82d56066683f198195"),
	(x"e6a80d00af01a9519bc9ba8dc9f6d724867a0000ee8c185477fd74ff51fce9c1"),
	(x"22400c00b0713f5fbcdd70b141d7db1431de01006493298cf274ac54b7388da5"),
	(x"95e40d003a6e0e873954a81aa713bf7042920100f1fc8e5a50e9bec3d9dde5f1"),
	(x"f6b40e003a0c1cba7ea59bd4b126c6361b10000069a8d7bc55a2b0e704ea2ab9"),
	(x"41100f00b0132d62fb2c437f57e2a252685c0000fcc7706af73fa2706a0f42ed"),
	(x"85f80e00af63bb6cdc388943dfc3ae62dff8010076d841b272b67adb8ccb2689"),
	(x"325c0f00257c8ab459b151e83907ca06acb40100e3b7e664d02b684ce22e4edd"),
	(x"bf2a0c003755f0b79e82b4a914c1186cbca8020076ba538f354749159afe5fcf"),
	(x"088e0d00bd4ac16f1b0b6c02f2057c08cfe40200e3d5f45997da5b82f41b379b"),
	(x"cc660c00a23a57613c1fa63e7a2470387840030069cac5811253832912df53ff"),
	(x"7bc20d00282566b9b9967e959ce0145c0b0c0300fca56257b0ce91be7c3a3bab"),
	(x"18920e0028477484fe674d5b8ad56d1a528e020064f13bb1b5859f9aa10df4e3"),
	(x"af360f00a258455c7bee95f06c11097e21c20200f19e9c6717188d0dcfe89cb7"),
	(x"6bde0e00bd28d3525cfa5fcce430054e966603007b81adbf929155a6292cf8d3"),
	(x"dc7a0f003737e28ad973876702f4612ae52a0300eeee0a69300c473147c99087"),
	(x"ca8f0800073967011bf7cf7c82c074707a080400767e77f5baa52e89b694ad43"),
	(x"7d2b09008d2656d99e7e17d76404101409440400e311d02318383c1ed871c517"),
	(x"b9c308009256c0d7b96addebec251c24bee00500690ee1fb9db1e4b53eb5a173"),
	(x"0e6709001849f10f3ce305400ae17840cdac0500fc61462d3f2cf6225050c927"),
	(x"6d370a00182be3327b12368e1cd40106942e040064351fcb3a67f8068d67066f"),
	(x"da930b009234d2eafe9bee25fa106562e7620400f15ab81d98faea91e3826e3b"),
	(x"1e7b0a008d4444e4d98f24197231695250c605007b4589c51d73323a05460a5f"),
	(x"a9df0b00075b753c5c06fcb294f50d36238a0500ee2a2e13bfee20ad6ba3620b"),
	(x"24a9080015720f3f9b3519f3b933df5c339606007b279bf85a8201f413737319"),
	(x"930d09009f6d3ee71ebcc1585ff7bb3840da0600ee483c2ef81f13637d961b4d"),
	(x"57e50800801da8e939a80b64d7d6b708f77e070064570df67d96cbc89b527f29"),
	(x"e04109000a029931bc21d3cf3112d36c84320700f138aa20df0bd95ff5b7177d"),
	(x"83110a000a608b0cfbd0e0012727aa2addb00600696cf3c6da40d77b2880d835"),
	(x"34b50b00807fbad47e5938aac1e3ce4eaefc0600fc03541078ddc5ec4665b061"),
	(x"f05d0a009f0f2cda594df29649c2c27e19580700761c65c8fd541d47a0a1d405"),
	(x"47f90b0015101d02dcc42a3daf06a61a6a140700e373c21e5fc90fd0ce44bc51"),
	(x"45b10c000aa4af767432879d0b4d58a66eb5040059c4400ad0d7cb3292eb46a5"),
	(x"f2150d0080bb9eaef1bb5f36ed893cc21df90400ccabe7dc724ad9a5fc0e2ef1"),
	(x"36fd0c009fcb08a0d6af950a65a830f2aa5d050046b4d604f7c3010e1aca4a95"),
	(x"81590d0015d4397853264da1836c5496d9110500d3db71d2555e1399742f22c1"),
	(x"e2090e0015b62b4514d77e6f95592dd0809304004b8f283450151dbda918ed89"),
	(x"55ad0f009fa91a9d915ea6c4739d49b4f3df0400dee08fe2f2880f2ac7fd85dd"),
	(x"91450e0080d98c93b64a6cf8fbbc4584447b050054ffbe3a7701d7812139e1b9"),
	(x"26e10f000ac6bd4b33c3b4531d7821e037370500c19019ecd59cc5164fdc89ed"),
	(x"ab970c0018efc748f4f0511230bef38a272b0600549dac0730f0e44f370c98ff"),
	(x"1c330d0092f0f690717989b9d67a97ee54670600c1f20bd1926df6d859e9f0ab"),
	(x"d8db0c008d80609e566d43855e5b9bdee3c307004bed3a0917e42e73bf2d94cf"),
	(x"6f7f0d00079f5146d3e49b2eb89fffba908f0700de829ddfb5793ce4d1c8fc9b"),
	(x"0c2f0e0007fd437b9415a8e0aeaa86fcc90d060046d6c439b03232c00cff33d3"),
	(x"bb8b0f008de272a3119c704b486ee298ba410600d3b963ef12af2057621a5b87"),
	(x"7f630e009292e4ad3688ba77c04feea80de5070059a652379726f8fc84de3fe3"),
	(x"c8c70f00188dd575b30162dc268b8acc7ea90700ccc9f5e135bbea6bea3b57b7"),
	(x"e18b00005459887dbf1283d31b666a733fb908007cdad883ce97a914bdd9f5e5"),
	(x"562f0100de46b9a53a9b5b78fda20e174cf50800e9b57f556c0abb83d33c9db1"),
	(x"92c70000c1362fab1d8f914475830227fb51090063aa4e8de983632835f8f9d5"),
	(x"256301004b291e73980649ef93476643881d0900f6c5e95b4b1e71bf5b1d9181"),
	(x"463302004b4b0c4edff77a2185721f05d19f08006e91b0bd4e557f9b862a5ec9"),
	(x"f1970300c1543d965a7ea28a63b67b61a2d30800fbfe176becc86d0ce8cf369d"),
	(x"357f0200de24ab987d6a68b6eb9777511577090071e126b36941b5a70e0b52f9"),
	(x"82db0300543b9a40f8e3b01d0d531335663b0900e48e8165cbdca73060ee3aad"),
	(x"0fad00004612e0433fd0555c2095c15f76270a007183348e2eb08669183e2bbf"),
	(x"b8090100cc0dd19bba598df7c651a53b056b0a00e4ec93588c2d94fe76db43eb"),
	(x"7ce10000d37d47959d4d47cb4e70a90bb2cf0b006ef3a28009a44c55901f278f"),
	(x"cb4501005962764d18c49f60a8b4cd6fc1830b00fb9c0556ab395ec2fefa4fdb"),
	(x"a8150200590064705f35acaebe81b42998010a0063c85cb0ae7250e623cd8093"),
	(x"1fb10300d31f55a8dabc74055845d04deb4d0a00f6a7fb660cef42714d28e8c7"),
	(x"db590200cc6fc3a6fda8be39d064dc7d5ce90b007cb8cabe89669adaabec8ca3"),
	(x"6cfd03004670f27e7821669236a0b8192fa50b00e9d76d682bfb884dc509e4f7"),
	(x"6eb5040059c4400ad0d7cb3292eb46a52b0408005360ef7ca4e54caf99a61e03"),
	(x"d9110500d3db71d2555e1399742f22c158480800c60f48aa06785e38f7437657"),
	(x"1df90400ccabe7dc724ad9a5fc0e2ef1efec09004c10797283f1869311871233"),
	(x"aa5d050046b4d604f7c3010e1aca4a959ca00900d97fdea4216c94047f627a67"),
	(x"c90d060046d6c439b03232c00cff33d3c5220800412b874224279a20a255b52f"),
	(x"7ea90700ccc9f5e135bbea6bea3b57b7b66e0800d444209486ba88b7ccb0dd7b"),
	(x"ba410600d3b963ef12af2057621a5b8701ca09005e5b114c0333501c2a74b91f"),
	(x"0de5070059a652379726f8fc84de3fe372860900cb34b69aa1ae428b4491d14b"),
	(x"809304004b8f283450151dbda918ed89629a0a005e39037144c263d23c41c059"),
	(x"37370500c19019ecd59cc5164fdc89ed11d60a00cb56a4a7e65f714552a4a80d"),
	(x"f3df0400dee08fe2f2880f2ac7fd85dda6720b004149957f63d6a9eeb460cc69"),
	(x"447b050054ffbe3a7701d7812139e1b9d53e0b00d42632a9c14bbb79da85a43d"),
	(x"272b0600549dac0730f0e44f370c98ff8cbc0a004c726b4fc400b55d07b26b75"),
	(x"908f0700de829ddfb5793ce4d1c8fc9bfff00a00d91dcc99669da7ca69570321"),
	(x"54670600c1f20bd1926df6d859e9f0ab48540b005302fd41e3147f618f936745"),
	(x"e3c307004bed3a0917e42e73bf2d94cf3b180b00c66d5a9741896df6e1760f11"),
	(x"f53600007be3bf82d56066683f198195a43a0c005efd270bcb20044e102b32d5"),
	(x"42920100f1fc8e5a50e9bec3d9dde5f1d7760c00cb9280dd69bd16d97ece5a81"),
	(x"867a0000ee8c185477fd74ff51fce9c160d20d00418db105ec34ce72980a3ee5"),
	(x"31de01006493298cf274ac54b7388da5139e0d00d4e216d34ea9dce5f6ef56b1"),
	(x"528e020064f13bb1b5859f9aa10df4e34a1c0c004cb64f354be2d2c12bd899f9"),
	(x"e52a0300eeee0a69300c473147c9908739500c00d9d9e8e3e97fc056453df1ad"),
	(x"21c20200f19e9c6717188d0dcfe89cb78ef40d0053c6d93b6cf618fda3f995c9"),
	(x"966603007b81adbf929155a6292cf8d3fdb80d00c6a97eedce6b0a6acd1cfd9d"),
	(x"1b10000069a8d7bc55a2b0e704ea2ab9eda40e0053a4cb062b072b33b5ccec8f"),
	(x"acb40100e3b7e664d02b684ce22e4edd9ee80e00c6cb6cd0899a39a4db2984db"),
	(x"685c0000fcc7706af73fa2706a0f42ed294c0f004cd45d080c13e10f3dede0bf"),
	(x"dff8010076d841b272b67adb8ccb26895a000f00d9bbfadeae8ef398530888eb"),
	(x"bca8020076ba538f354749159afe5fcf03820e0041efa338abc5fdbc8e3f47a3"),
	(x"0b0c0300fca56257b0ce91be7c3a3bab70ce0e00d48004ee0958ef2be0da2ff7"),
	(x"cfe40200e3d5f45997da5b82f41b379bc76a0f005e9f35368cd13780061e4b93"),
	(x"7840030069cac5811253832912df53ffb4260f00cbf092e02e4c251768fb23c7"),
	(x"7a080400767e77f5baa52e89b694ad43b0870c00714710f4a152e1f53454d933"),
	(x"cdac0500fc61462d3f2cf6225050c927c3cb0c00e428b72203cff3625ab1b167"),
	(x"09440400e311d02318383c1ed871c517746f0d006e3786fa86462bc9bc75d503"),
	(x"bee00500690ee1fb9db1e4b53eb5a17307230d00fb58212c24db395ed290bd57"),
	(x"ddb00600696cf3c6da40d77b2880d8355ea10c00630c78ca2190377a0fa7721f"),
	(x"6a140700e373c21e5fc90fd0ce44bc512ded0c00f663df1c830d25ed61421a4b"),
	(x"aefc0600fc03541078ddc5ec4665b0619a490d007c7ceec40684fd4687867e2f"),
	(x"19580700761c65c8fd541d47a0a1d405e9050d00e9134912a419efd1e963167b"),
	(x"942e040064351fcb3a67f8068d67066ff9190e007c1efcf94175ce8891b30769"),
	(x"238a0500ee2a2e13bfee20ad6ba3620b8a550e00e9715b2fe3e8dc1fff566f3d"),
	(x"e7620400f15ab81d98faea91e3826e3b3df10f00636e6af7666104b419920b59"),
	(x"50c605007b4589c51d73323a05460a5f4ebd0f00f601cd21c4fc16237777630d"),
	(x"339606007b279bf85a8201f413737319173f0e006e5594c7c1b71807aa40ac45"),
	(x"84320700f138aa20df0bd95ff5b7177d64730e00fb3a3311632a0a90c4a5c411"),
	(x"40da0600ee483c2ef81f13637d961b4dd3d70f00712502c9e6a3d23b2261a075"),
	(x"f77e070064570df67d96cbc89b527f29a09b0f00e44aa51f443ec0ac4c84c821"),
	(x"3fb908007cdad883ce97a914bdd9f5e5de320800288350fe71852ac7a6bf9f96"),
	(x"881d0900f6c5e95b4b1e71bf5b1d9181ad7e0800bdecf728d3183850c85af7c2"),
	(x"4cf50800e9b57f556c0abb83d33c9db11ada090037f3c6f05691e0fb2e9e93a6"),
	(x"fb51090063aa4e8de983632835f8f9d569960900a29c6126f40cf26c407bfbf2"),
	(x"98010a0063c85cb0ae7250e623cd8093301408003ac838c0f147fc489d4c34ba"),
	(x"2fa50b00e9d76d682bfb884dc509e4f743580800afa79f1653daeedff3a95cee"),
	(x"eb4d0a00f6a7fb660cef42714d28e8c7f4fc090025b8aeced6533674156d388a"),
	(x"5ce90b007cb8cabe89669adaabec8ca387b00900b0d7091874ce24e37b8850de"),
	(x"d19f08006e91b0bd4e557f9b862a5ec997ac0a0025dabcf391a205ba035841cc"),
	(x"663b0900e48e8165cbdca73060ee3aade4e00a00b0b51b25333f172d6dbd2998"),
	(x"a2d30800fbfe176becc86d0ce8cf369d53440b003aaa2afdb6b6cf868b794dfc"),
	(x"1577090071e126b36941b5a70e0b52f920080b00afc58d2b142bdd11e59c25a8"),
	(x"76270a007183348e2eb08669183e2bbf798a0a003791d4cd1160d33538abeae0"),
	(x"c1830b00fb9c0556ab395ec2fefa4fdb0ac60a00a2fe731bb3fdc1a2564e82b4"),
	(x"056b0a00e4ec93588c2d94fe76db43ebbd620b0028e142c336741909b08ae6d0"),
	(x"b2cf0b006ef3a28009a44c55901f278fce2e0b00bd8ee51594e90b9ede6f8e84"),
	(x"b0870c00714710f4a152e1f53454d933ca8f0800073967011bf7cf7c82c07470"),
	(x"07230d00fb58212c24db395ed290bd57b9c308009256c0d7b96addebec251c24"),
	(x"c3cb0c00e428b72203cff3625ab1b1670e6709001849f10f3ce305400ae17840"),
	(x"746f0d006e3786fa86462bc9bc75d5037d2b09008d2656d99e7e17d764041014"),
	(x"173f0e006e5594c7c1b71807aa40ac4524a9080015720f3f9b3519f3b933df5c"),
	(x"a09b0f00e44aa51f443ec0ac4c84c82157e50800801da8e939a80b64d7d6b708"),
	(x"64730e00fb3a3311632a0a90c4a5c411e04109000a029931bc21d3cf3112d36c"),
	(x"d3d70f00712502c9e6a3d23b2261a075930d09009f6d3ee71ebcc1585ff7bb38"),
	(x"5ea10c00630c78ca2190377a0fa7721f83110a000a608b0cfbd0e0012727aa2a"),
	(x"e9050d00e9134912a419efd1e963167bf05d0a009f0f2cda594df29649c2c27e"),
	(x"2ded0c00f663df1c830d25ed61421a4b47f90b0015101d02dcc42a3daf06a61a"),
	(x"9a490d007c7ceec40684fd4687867e2f34b50b00807fbad47e5938aac1e3ce4e"),
	(x"f9190e007c1efcf94175ce8891b307696d370a00182be3327b12368e1cd40106"),
	(x"4ebd0f00f601cd21c4fc16237777630d1e7b0a008d4444e4d98f241972316952"),
	(x"8a550e00e9715b2fe3e8dc1fff566f3da9df0b00075b753c5c06fcb294f50d36"),
	(x"3df10f00636e6af7666104b419920b59da930b009234d2eafe9bee25fa106562"),
	(x"2b0408005360ef7ca4e54caf99a61e0345b10c000aa4af767432879d0b4d58a6"),
	(x"9ca00900d97fdea4216c94047f627a6736fd0c009fcb08a0d6af950a65a830f2"),
	(x"58480800c60f48aa06785e38f743765781590d0015d4397853264da1836c5496"),
	(x"efec09004c10797283f1869311871233f2150d0080bb9eaef1bb5f36ed893cc2"),
	(x"8cbc0a004c726b4fc400b55d07b26b75ab970c0018efc748f4f0511230bef38a"),
	(x"3b180b00c66d5a9741896df6e1760f11d8db0c008d80609e566d43855e5b9bde"),
	(x"fff00a00d91dcc99669da7ca695703216f7f0d00079f5146d3e49b2eb89fffba"),
	(x"48540b005302fd41e3147f618f9367451c330d0092f0f690717989b9d67a97ee"),
	(x"c5220800412b874224279a20a255b52f0c2f0e0007fd437b9415a8e0aeaa86fc"),
	(x"72860900cb34b69aa1ae428b4491d14b7f630e009292e4ad3688ba77c04feea8"),
	(x"b66e0800d444209486ba88b7ccb0dd7bc8c70f00188dd575b30162dc268b8acc"),
	(x"01ca09005e5b114c0333501c2a74b91fbb8b0f008de272a3119c704b486ee298"),
	(x"629a0a005e39037144c263d23c41c059e2090e0015b62b4514d77e6f95592dd0"),
	(x"d53e0b00d42632a9c14bbb79da85a43d91450e0080d98c93b64a6cf8fbbc4584"),
	(x"11d60a00cb56a4a7e65f714552a4a80d26e10f000ac6bd4b33c3b4531d7821e0"),
	(x"a6720b004149957f63d6a9eeb460cc6955ad0f009fa91a9d915ea6c4739d49b4"),
	(x"a43a0c005efd270bcb20044e102b32d5510c0c00251e98891e4062262f32b340"),
	(x"139e0d00d4e216d34ea9dce5f6ef56b122400c00b0713f5fbcdd70b141d7db14"),
	(x"d7760c00cb9280dd69bd16d97ece5a8195e40d003a6e0e873954a81aa713bf70"),
	(x"60d20d00418db105ec34ce72980a3ee5e6a80d00af01a9519bc9ba8dc9f6d724"),
	(x"03820e0041efa338abc5fdbc8e3f47a3bf2a0c003755f0b79e82b4a914c1186c"),
	(x"b4260f00cbf092e02e4c251768fb23c7cc660c00a23a57613c1fa63e7a247038"),
	(x"70ce0e00d48004ee0958ef2be0da2ff77bc20d00282566b9b9967e959ce0145c"),
	(x"c76a0f005e9f35368cd13780061e4b93088e0d00bd4ac16f1b0b6c02f2057c08"),
	(x"4a1c0c004cb64f354be2d2c12bd899f918920e0028477484fe674d5b8ad56d1a"),
	(x"fdb80d00c6a97eedce6b0a6acd1cfd9d6bde0e00bd28d3525cfa5fcce430054e"),
	(x"39500c00d9d9e8e3e97fc056453df1addc7a0f003737e28ad973876702f4612a"),
	(x"8ef40d0053c6d93b6cf618fda3f995c9af360f00a258455c7bee95f06c11097e"),
	(x"eda40e0053a4cb062b072b33b5ccec8ff6b40e003a0c1cba7ea59bd4b126c636"),
	(x"5a000f00d9bbfadeae8ef398530888eb85f80e00af63bb6cdc388943dfc3ae62"),
	(x"9ee80e00c6cb6cd0899a39a4db2984db325c0f00257c8ab459b151e83907ca06"),
	(x"294c0f004cd45d080c13e10f3dede0bf41100f00b0132d62fb2c437f57e2a252")
    ),(
	(x"0000000000000000000000000000000000000000000000000000000000000000"),
	(x"515c001040f372fbfce72602715750612e39000064dd66893cd406fcb1f490bc"),
	(x"2e39000064dd66893cd406fcb1f490bc7f650010242e1472c03320fec0a3c0dd"),
	(x"7f650010242e1472c03320fec0a3c0dd515c001040f372fbfce7260271575061"),
	(x"a2b8002081e7e5f6f9ce4c04e2afa0c05c720000c9bacd1279a90df963e92178"),
	(x"f3e40030c114970d05296a0693f8f0a1724b0000ad67ab9b457d0b05d21db1c4"),
	(x"8c810020e53a837fc51a4af8535b307c23170010ed94d960b99a2d07a34ae1a5"),
	(x"dddd0030a5c9f18439fd6cfa220c601d0d2e00108949bfe9854e2bfb12be7119"),
	(x"5c720000c9bacd1279a90df963e92178feca0020485d28e4806741fd814681b8"),
	(x"0d2e00108949bfe9854e2bfb12be7119d0f300202c804e6dbcb3470130b21104"),
	(x"724b0000ad67ab9b457d0b05d21db1c481af00306c733c964054610341e54165"),
	(x"23170010ed94d960b99a2d07a34ae1a5af96003008ae5a1f7c8067fff011d1d9"),
	(x"feca0020485d28e4806741fd814681b8a2b8002081e7e5f6f9ce4c04e2afa0c0"),
	(x"af96003008ae5a1f7c8067fff011d1d98c810020e53a837fc51a4af8535b307c"),
	(x"d0f300202c804e6dbcb3470130b21104dddd0030a5c9f18439fd6cfa220c601d"),
	(x"81af00306c733c964054610341e54165f3e40030c114970d05296a0693f8f0a1"),
	(x"4dce00403b5bec7e36656ba823633a0578ab0000a0cd5a345d5ca0f7727784cb"),
	(x"1c9200507ba89e85ca824daa52346a6456920000c4103cbd6188a60bc3831477"),
	(x"63f700405f868af70ab16d549297aab907ce001084e34e469d6f8009b2d44416"),
	(x"32ab00501f75f80cf6564b56e3c0fad829f70010e03e28cfa1bb86f50320d4aa"),
	(x"ef760060babc0988cfab27acc1cc9ac524d900006977972624f5ad0e119ea5b3"),
	(x"be2a0070fa4f7b73334c01aeb09bcaa40ae000000daaf1af1821abf2a06a350f"),
	(x"c14f0060de616f01f37f215070380a795bbc00104d598354e4c68df0d13d656e"),
	(x"901300709e921dfa0f980752016f5a18758500102984e5ddd8128b0c60c9f5d2"),
	(x"11bc0040f2e1216c4fcc6651408a1b7d86610020e89072d0dd3be10af3310573"),
	(x"40e00050b2125397b32b405331dd4b1ca85800208c4d1459e1efe7f642c595cf"),
	(x"3f850040963c47e5731860adf17e8bc1f9040030ccbe66a21d08c1f43392c5ae"),
	(x"6ed90050d6cf351e8fff46af8029dba0d73d0030a863002b21dcc70882665512"),
	(x"b30400607306c49ab6022a55a225bbbdda130020212abfc2a492ecf390d8240b"),
	(x"e258007033f5b6614ae50c57d372ebdcf42a002045f7d94b9846ea0f212cb4b7"),
	(x"9d3d006017dba2138ad62ca913d12b01a57600300504abb064a1cc0d507be4d6"),
	(x"cc6100705728d0e876310aab62867b608b4f003061d9cd395875caf1e18f746a"),
	(x"78ab0000a0cd5a345d5ca0f7727784cb356500409b96b64a6b39cb5f5114bece"),
	(x"29f70010e03e28cfa1bb86f50320d4aa1b5c0040ff4bd0c357edcda3e0e02e72"),
	(x"56920000c4103cbd6188a60bc38314774a000050bfb8a238ab0aeba191b77e13"),
	(x"07ce001084e34e469d6f8009b2d4441664390050db65c4b197deed5d2043eeaf"),
	(x"da130020212abfc2a492ecf390d8240b69170040522c7b581290c6a632fd9fb6"),
	(x"8b4f003061d9cd395875caf1e18f746a472e004036f11dd12e44c05a83090f0a"),
	(x"f42a002045f7d94b9846ea0f212cb4b71672005076026f2ad2a3e658f25e5f6b"),
	(x"a57600300504abb064a1cc0d507be4d6384b005012df09a3ee77e0a443aacfd7"),
	(x"24d900006977972624f5ad0e119ea5b3cbaf0060d3cb9eaeeb5e8aa2d0523f76"),
	(x"758500102984e5ddd8128b0c60c9f5d2e5960060b716f827d78a8c5e61a6afca"),
	(x"0ae000000daaf1af1821abf2a06a350fb4ca0070f7e58adc2b6daa5c10f1ffab"),
	(x"5bbc00104d598354e4c68df0d13d656e9af300709338ec5517b9aca0a1056f17"),
	(x"86610020e89072d0dd3be10af331057397dd00601a7153bc92f7875bb3bb1e0e"),
	(x"d73d0030a863002b21dcc70882665512b9e400607eac3535ae2381a7024f8eb2"),
	(x"a85800208c4d1459e1efe7f642c595cfe8b800703e5f47ce52c4a7a57318ded3"),
	(x"f9040030ccbe66a21d08c1f43392c5aec68100705a8221476e10a159c2ec4e6f"),
	(x"356500409b96b64a6b39cb5f5114bece4dce00403b5bec7e36656ba823633a05"),
	(x"64390050db65c4b197deed5d2043eeaf63f700405f868af70ab16d549297aab9"),
	(x"1b5c0040ff4bd0c357edcda3e0e02e7232ab00501f75f80cf6564b56e3c0fad8"),
	(x"4a000050bfb8a238ab0aeba191b77e131c9200507ba89e85ca824daa52346a64"),
	(x"97dd00601a7153bc92f7875bb3bb1e0e11bc0040f2e1216c4fcc6651408a1b7d"),
	(x"c68100705a8221476e10a159c2ec4e6f3f850040963c47e5731860adf17e8bc1"),
	(x"b9e400607eac3535ae2381a7024f8eb26ed90050d6cf351e8fff46af8029dba0"),
	(x"e8b800703e5f47ce52c4a7a57318ded340e00050b2125397b32b405331dd4b1c"),
	(x"69170040522c7b581290c6a632fd9fb6b30400607306c49ab6022a55a225bbbd"),
	(x"384b005012df09a3ee77e0a443aacfd79d3d006017dba2138ad62ca913d12b01"),
	(x"472e004036f11dd12e44c05a83090f0acc6100705728d0e876310aab62867b60"),
	(x"1672005076026f2ad2a3e658f25e5f6be258007033f5b6614ae50c57d372ebdc"),
	(x"cbaf0060d3cb9eaeeb5e8aa2d0523f76ef760060babc0988cfab27acc1cc9ac5"),
	(x"9af300709338ec5517b9aca0a1056f17c14f0060de616f01f37f215070380a79"),
	(x"e5960060b716f827d78a8c5e61a6afca901300709e921dfa0f980752016f5a18"),
	(x"b4ca0070f7e58adc2b6daa5c10f1ffabbe2a0070fa4f7b73334c01aeb09bcaa4"),
	(x"5bd20080450f18ecc2c46c55f362b23339a600004ab753ebd14e094bb772b42b"),
	(x"0a8e009005fc6a173e234a578235e252179f00002e6a3562ed9a0fb706862497"),
	(x"75eb008021d27e65fe106aa94296228f46c300106e994799117d29b577d174f6"),
	(x"24b7009061210c9e02f74cab33c172ee68fa00100a4421102da92f49c625e44a"),
	(x"f96a00a0c4e8fd1a3b0a205111cd12f365d40000830d9ef9a8e704b2d49b9553"),
	(x"a83600b0841b8fe1c7ed0653609a42924bed0000e7d0f8709433024e656f05ef"),
	(x"d75300a0a0359b9307de26ada039824f1ab10010a7238a8b68d4244c1438558e"),
	(x"860f00b0e0c6e968fb3900afd16ed22e34880010c3feec02540022b0a5ccc532"),
	(x"07a000808cb5d5febb6d61ac908b934bc76c002002ea7b0f512948b636343593"),
	(x"56fc0090cc46a705478a47aee1dcc32ae955002066371d866dfd4e4a87c0a52f"),
	(x"29990080e868b37787b96750217f03f7b809003026c46f7d911a6848f697f54e"),
	(x"78c50090a89bc18c7b5e41525028539696300030421909f4adce6eb4476365f2"),
	(x"a51800a00d52300842a32da87224338b9b1e0020cb50b61d2880454f55dd14eb"),
	(x"f44400b04da142f3be440baa037363eab5270020af8dd094145443b3e4298457"),
	(x"8b2100a0698f56817e772b54c3d0a337e47b0030ef7ea26fe8b365b1957ed436"),
	(x"da7d00b0297c247a82900d56b287f356ca4200308ba3c4e6d467634d248a448a"),
	(x"161c00c07e54f492f4a107fdd0018836410d0000ea7a09df8c12a9bcc50530e0"),
	(x"474000d03ea78669084621ffa156d8576f3400008ea76f56b0c6af4074f1a05c"),
	(x"382500c01a89921bc875010161f5188a3e680010ce541dad4c21894205a6f03d"),
	(x"697900d05a7ae0e03492270310a248eb10510010aa897b2470f58fbeb4526081"),
	(x"b4a400e0ffb311640d6f4bf932ae28f61d7f000023c0c4cdf5bba445a6ec1198"),
	(x"e5f800f0bf40639ff1886dfb43f9789733460000471da244c96fa2b917188124"),
	(x"9a9d00e09b6e77ed31bb4d05835ab84a621a001007eed0bf358884bb664fd145"),
	(x"cbc100f0db9d0516cd5c6b07f20de82b4c2300106333b636095c8247d7bb41f9"),
	(x"4a6e00c0b7ee39808d080a04b3e8a94ebfc70020a227213b0c75e8414443b158"),
	(x"1b3200d0f71d4b7b71ef2c06c2bff92f91fe0020c6fa47b230a1eebdf5b721e4"),
	(x"645700c0d3335f09b1dc0cf8021c39f2c0a2003086093549cc46c8bf84e07185"),
	(x"350b00d093c02df24d3b2afa734b6993ee9b0030e2d453c0f092ce433514e139"),
	(x"e8d600e03609dc7674c646005147098ee3b500206b9dec2975dce5b827aa9020"),
	(x"b98a00f076faae8d88216002201059efcd8c00200f408aa04908e344965e009c"),
	(x"c6ef00e052d4baff481240fce0b399329cd000304fb3f85bb5efc546e70950fd"),
	(x"97b300f01227c804b4f566fe91e4c953b2e900302b6e9ed2893bc3ba56fdc041"),
	(x"23790080e5c242d89f98cca2811536f80cc30040d121e5a1ba77c214e6660ae5"),
	(x"72250090a5313023637feaa0f042669922fa0040b5fc832886a3c4e857929a59"),
	(x"0d400080811f2451a34cca5e30e1a64473a60050f50ff1d37a44e2ea26c5ca38"),
	(x"5c1c0090c1ec56aa5fabec5c41b6f6255d9f005091d2975a4690e41697315a84"),
	(x"81c100a06425a72e665680a663ba963850b10040189b28b3c3decfed858f2b9d"),
	(x"d09d00b024d6d5d59ab1a6a412edc6597e8800407c464e3aff0ac911347bbb21"),
	(x"aff800a000f8c1a75a82865ad24e06842fd400503cb53cc103edef13452ceb40"),
	(x"fea400b0400bb35ca665a058a31956e501ed005058685a483f39e9eff4d87bfc"),
	(x"7f0b00802c788fcae631c15be2fc1780f2090060997ccd453a1083e967208b5d"),
	(x"2e5700906c8bfd311ad6e75993ab47e1dc300060fda1abcc06c48515d6d41be1"),
	(x"5132008048a5e943dae5c7a75308873c8d6c0070bd52d937fa23a317a7834b80"),
	(x"006e009008569bb82602e1a5225fd75da3550070d98fbfbec6f7a5eb1677db3c"),
	(x"ddb300a0ad9f6a3c1fff8d5f0053b740ae7b006050c6005743b98e1004c9aa25"),
	(x"8cef00b0ed6c18c7e318ab5d7104e72180420060341b66de7f6d88ecb53d3a99"),
	(x"f38a00a0c9420cb5232b8ba3b1a727fcd11e007074e81425838aaeeec46a6af8"),
	(x"a2d600b089b17e4edfccada1c0f0779dff270070103572acbf5ea812759efa44"),
	(x"6eb700c0de99aea6a9fda70aa2760cfd7468004071ecbf95e72b62e394118e2e"),
	(x"3feb00d09e6adc5d551a8108d3215c9c5a5100401531d91cdbff641f25e51e92"),
	(x"408e00c0ba44c82f9529a1f613829c410b0d005055c2abe72718421d54b24ef3"),
	(x"11d200d0fab7bad469ce87f462d5cc2025340050311fcd6e1bcc44e1e546de4f"),
	(x"cc0f00e05f7e4b505033eb0e40d9ac3d281a0040b85672879e826f1af7f8af56"),
	(x"9d5300f01f8d39abacd4cd0c318efc5c06230040dc8b140ea25669e6460c3fea"),
	(x"e23600e03ba32dd96ce7edf2f12d3c81577f00509c7866f55eb14fe4375b6f8b"),
	(x"b36a00f07b505f229000cbf0807a6ce079460050f8a5007c6265491886afff37"),
	(x"32c500c0172363b4d054aaf3c19f2d858aa2006039b19771674c231e15570f96"),
	(x"639900d057d0114f2cb38cf1b0c87de4a49b00605d6cf1f85b9825e2a4a39f2a"),
	(x"1cfc00c073fe053dec80ac0f706bbd39f5c700701d9f8303a77f03e0d5f4cf4b"),
	(x"4da000d0330d77c610678a0d013ced58dbfe00707942e58a9bab051c64005ff7"),
	(x"907d00e096c48642299ae6f723308d45d6d00060f00b5a631ee52ee776be2eee"),
	(x"c12100f0d637f4b9d57dc0f55267dd24f8e9006094d63cea2231281bc74abe52"),
	(x"be4400e0f219e0cb154ee00b92c41df9a9b50070d4254e11ded60e19b61dee33"),
	(x"ef1800f0b2ea9230e9a9c609e3934d98878c0070b0f82898e20208e507e97e8f"),
	(x"39a600004ab753ebd14e094bb772b42b627400800fb84b07138a651e44100618"),
	(x"68fa00100a4421102da92f49c625e44a4c4d00806b652d8e2f5e63e2f5e496a4"),
	(x"179f00002e6a3562ed9a0fb7068624971d1100902b965f75d3b945e084b3c6c5"),
	(x"46c300106e994799117d29b577d174f6332800904f4b39fcef6d431c35475679"),
	(x"9b1e0020cb50b61d2880454f55dd14eb3e060080c60286156a2368e727f92760"),
	(x"ca4200308ba3c4e6d467634d248a448a103f0080a2dfe09c56f76e1b960db7dc"),
	(x"b5270020af8dd094145443b3e429845741630090e22c9267aa104819e75ae7bd"),
	(x"e47b0030ef7ea26fe8b365b1957ed4366f5a009086f1f4ee96c44ee556ae7701"),
	(x"65d40000830d9ef9a8e704b2d49b95539cbe00a047e563e393ed24e3c55687a0"),
	(x"34880010c3feec02540022b0a5ccc532b28700a02338056aaf39221f74a2171c"),
	(x"4bed0000e7d0f8709433024e656f05efe3db00b063cb779153de041d05f5477d"),
	(x"1ab10010a7238a8b68d4244c1438558ecde200b0071611186f0a02e1b401d7c1"),
	(x"c76c002002ea7b0f512948b636343593c0cc00a08e5faef1ea44291aa6bfa6d8"),
	(x"96300030421909f4adce6eb4476365f2eef500a0ea82c878d6902fe6174b3664"),
	(x"e955002066371d866dfd4e4a87c0a52fbfa900b0aa71ba832a7709e4661c6605"),
	(x"b809003026c46f7d911a6848f697f54e919000b0ceacdc0a16a30f18d7e8f6b9"),
	(x"7468004071ecbf95e72b62e394118e2e1adf0080af7511334ed6c5e9366782d3"),
	(x"25340050311fcd6e1bcc44e1e546de4f34e60080cba877ba7202c3158793126f"),
	(x"5a5100401531d91cdbff641f25e51e9265ba00908b5b05418ee5e517f6c4420e"),
	(x"0b0d005055c2abe72718421d54b24ef34b830090ef8663c8b231e3eb4730d2b2"),
	(x"d6d00060f00b5a631ee52ee776be2eee46ad008066cfdc21377fc810558ea3ab"),
	(x"878c0070b0f82898e20208e507e97e8f689400800212baa80babceece47a3317"),
	(x"f8e9006094d63cea2231281bc74abe5239c8009042e1c853f74ce8ee952d6376"),
	(x"a9b50070d4254e11ded60e19b61dee3317f10090263caedacb98ee1224d9f3ca"),
	(x"281a0040b85672879e826f1af7f8af56e41500a0e72839d7ceb18414b721036b"),
	(x"79460050f8a5007c6265491886afff37ca2c00a083f55f5ef26582e806d593d7"),
	(x"06230040dc8b140ea25669e6460c3fea9b7000b0c3062da50e82a4ea7782c3b6"),
	(x"577f00509c7866f55eb14fe4375b6f8bb54900b0a7db4b2c3256a216c676530a"),
	(x"8aa2006039b19771674c231e15570f96b86700a02e92f4c5b71889edd4c82213"),
	(x"dbfe00707942e58a9bab051c64005ff7965e00a04a4f924c8bcc8f11653cb2af"),
	(x"a49b00605d6cf1f85b9825e2a4a39f2ac70200b00abce0b7772ba913146be2ce"),
	(x"f5c700701d9f8303a77f03e0d5f4cf4be93b00b06e61863e4bffafefa59f7272"),
	(x"410d0000ea7a09df8c12a9bcc50530e0571100c0942efd4d78b3ae411504b8d6"),
	(x"10510010aa897b2470f58fbeb4526081792800c0f0f39bc44467a8bda4f0286a"),
	(x"6f3400008ea76f56b0c6af4074f1a05c287400d0b000e93fb8808ebfd5a7780b"),
	(x"3e680010ce541dad4c21894205a6f03d064d00d0d4dd8fb6845488436453e8b7"),
	(x"e3b500206b9dec2975dce5b827aa90200b6300c05d94305f011aa3b876ed99ae"),
	(x"b2e900302b6e9ed2893bc3ba56fdc041255a00c0394956d63dcea544c7190912"),
	(x"cd8c00200f408aa04908e344965e009c740600d079ba242dc1298346b64e5973"),
	(x"9cd000304fb3f85bb5efc546e70950fd5a3f00d01d6742a4fdfd85ba07bac9cf"),
	(x"1d7f000023c0c4cdf5bba445a6ec1198a9db00e0dc73d5a9f8d4efbc9442396e"),
	(x"4c2300106333b636095c8247d7bb41f987e200e0b8aeb320c400e94025b6a9d2"),
	(x"33460000471da244c96fa2b917188124d6be00f0f85dc1db38e7cf4254e1f9b3"),
	(x"621a001007eed0bf358884bb664fd145f88700f09c80a7520433c9bee515690f"),
	(x"bfc70020a227213b0c75e8414443b158f5a900e015c918bb817de245f7ab1816"),
	(x"ee9b0030e2d453c0f092ce433514e139db9000e071147e32bda9e4b9465f88aa"),
	(x"91fe0020c6fa47b230a1eebdf5b721e48acc00f031e70cc9414ec2bb3708d8cb"),
	(x"c0a2003086093549cc46c8bf84e07185a4f500f0553a6a407d9ac44786fc4877"),
	(x"0cc30040d121e5a1ba77c214e6660ae52fba00c034e3a77925ef0eb667733c1d"),
	(x"5d9f005091d2975a4690e41697315a84018300c0503ec1f0193b084ad687aca1"),
	(x"22fa0040b5fc832886a3c4e857929a5950df00d010cdb30be5dc2e48a7d0fcc0"),
	(x"73a60050f50ff1d37a44e2ea26c5ca387ee600d07410d582d90828b416246c7c"),
	(x"ae7b006050c6005743b98e1004c9aa2573c800c0fd596a6b5c46034f049a1d65"),
	(x"ff270070103572acbf5ea812759efa445df100c099840ce2609205b3b56e8dd9"),
	(x"80420060341b66de7f6d88ecb53d3a990cad00d0d9777e199c7523b1c439ddb8"),
	(x"d11e007074e81425838aaeeec46a6af8229400d0bdaa1890a0a1254d75cd4d04"),
	(x"50b10040189b28b3c3decfed858f2b9dd17000e07cbe8f9da5884f4be635bda5"),
	(x"01ed005058685a483f39e9eff4d87bfcff4900e01863e914995c49b757c12d19"),
	(x"7e8800407c464e3aff0ac911347bbb21ae1500f058909bef65bb6fb526967d78"),
	(x"2fd400503cb53cc103edef13452ceb40802c00f03c4dfd66596f69499762edc4"),
	(x"f2090060997ccd453a1083e967208b5d8d0200e0b504428fdc2142b285dc9cdd"),
	(x"a3550070d98fbfbec6f7a5eb1677db3ca33b00e0d1d92406e0f5444e34280c61"),
	(x"dc300060fda1abcc06c48515d6d41be1f26700f0912a56fd1c12624c457f5c00"),
	(x"8d6c0070bd52d937fa23a317a7834b80dc5e00f0f5f7307420c664b0f48bccbc"),
	(x"627400800fb84b07138a651e441006185bd20080450f18ecc2c46c55f362b233"),
	(x"332800904f4b39fcef6d431c3547567975eb008021d27e65fe106aa94296228f"),
	(x"4c4d00806b652d8e2f5e63e2f5e496a424b7009061210c9e02f74cab33c172ee"),
	(x"1d1100902b965f75d3b945e084b3c6c50a8e009005fc6a173e234a578235e252"),
	(x"c0cc00a08e5faef1ea44291aa6bfa6d807a000808cb5d5febb6d61ac908b934b"),
	(x"919000b0ceacdc0a16a30f18d7e8f6b929990080e868b37787b96750217f03f7"),
	(x"eef500a0ea82c878d6902fe6174b366478c50090a89bc18c7b5e415250285396"),
	(x"bfa900b0aa71ba832a7709e4661c660556fc0090cc46a705478a47aee1dcc32a"),
	(x"3e060080c60286156a2368e727f92760a51800a00d52300842a32da87224338b"),
	(x"6f5a009086f1f4ee96c44ee556ae77018b2100a0698f56817e772b54c3d0a337"),
	(x"103f0080a2dfe09c56f76e1b960db7dcda7d00b0297c247a82900d56b287f356"),
	(x"41630090e22c9267aa104819e75ae7bdf44400b04da142f3be440baa037363ea"),
	(x"9cbe00a047e563e393ed24e3c55687a0f96a00a0c4e8fd1a3b0a205111cd12f3"),
	(x"cde200b0071611186f0a02e1b401d7c1d75300a0a0359b9307de26ada039824f"),
	(x"b28700a02338056aaf39221f74a2171c860f00b0e0c6e968fb3900afd16ed22e"),
	(x"e3db00b063cb779153de041d05f5477da83600b0841b8fe1c7ed0653609a4292"),
	(x"2fba00c034e3a77925ef0eb667733c1d23790080e5c242d89f98cca2811536f8"),
	(x"7ee600d07410d582d90828b416246c7c0d400080811f2451a34cca5e30e1a644"),
	(x"018300c0503ec1f0193b084ad687aca15c1c0090c1ec56aa5fabec5c41b6f625"),
	(x"50df00d010cdb30be5dc2e48a7d0fcc072250090a5313023637feaa0f0426699"),
	(x"8d0200e0b504428fdc2142b285dc9cdd7f0b00802c788fcae631c15be2fc1780"),
	(x"dc5e00f0f5f7307420c664b0f48bccbc5132008048a5e943dae5c7a75308873c"),
	(x"a33b00e0d1d92406e0f5444e34280c61006e009008569bb82602e1a5225fd75d"),
	(x"f26700f0912a56fd1c12624c457f5c002e5700906c8bfd311ad6e75993ab47e1"),
	(x"73c800c0fd596a6b5c46034f049a1d65ddb300a0ad9f6a3c1fff8d5f0053b740"),
	(x"229400d0bdaa1890a0a1254d75cd4d04f38a00a0c9420cb5232b8ba3b1a727fc"),
	(x"5df100c099840ce2609205b3b56e8dd9a2d600b089b17e4edfccada1c0f0779d"),
	(x"0cad00d0d9777e199c7523b1c439ddb88cef00b0ed6c18c7e318ab5d7104e721"),
	(x"d17000e07cbe8f9da5884f4be635bda581c100a06425a72e665680a663ba9638"),
	(x"802c00f03c4dfd66596f69499762edc4aff800a000f8c1a75a82865ad24e0684"),
	(x"ff4900e01863e914995c49b757c12d19fea400b0400bb35ca665a058a31956e5"),
	(x"ae1500f058909bef65bb6fb526967d78d09d00b024d6d5d59ab1a6a412edc659"),
	(x"1adf0080af7511334ed6c5e9366782d36eb700c0de99aea6a9fda70aa2760cfd"),
	(x"4b830090ef8663c8b231e3eb4730d2b2408e00c0ba44c82f9529a1f613829c41"),
	(x"34e60080cba877ba7202c3158793126f11d200d0fab7bad469ce87f462d5cc20"),
	(x"65ba00908b5b05418ee5e517f6c4420e3feb00d09e6adc5d551a8108d3215c9c"),
	(x"b86700a02e92f4c5b71889edd4c8221332c500c0172363b4d054aaf3c19f2d85"),
	(x"e93b00b06e61863e4bffafefa59f72721cfc00c073fe053dec80ac0f706bbd39"),
	(x"965e00a04a4f924c8bcc8f11653cb2af4da000d0330d77c610678a0d013ced58"),
	(x"c70200b00abce0b7772ba913146be2ce639900d057d0114f2cb38cf1b0c87de4"),
	(x"46ad008066cfdc21377fc810558ea3ab907d00e096c48642299ae6f723308d45"),
	(x"17f10090263caedacb98ee1224d9f3cabe4400e0f219e0cb154ee00b92c41df9"),
	(x"689400800212baa80babceece47a3317ef1800f0b2ea9230e9a9c609e3934d98"),
	(x"39c8009042e1c853f74ce8ee952d6376c12100f0d637f4b9d57dc0f55267dd24"),
	(x"e41500a0e72839d7ceb18414b721036bcc0f00e05f7e4b505033eb0e40d9ac3d"),
	(x"b54900b0a7db4b2c3256a216c676530ae23600e03ba32dd96ce7edf2f12d3c81"),
	(x"ca2c00a083f55f5ef26582e806d593d7b36a00f07b505f229000cbf0807a6ce0"),
	(x"9b7000b0c3062da50e82a4ea7782c3b69d5300f01f8d39abacd4cd0c318efc5c"),
	(x"571100c0942efd4d78b3ae411504b8d6161c00c07e54f492f4a107fdd0018836"),
	(x"064d00d0d4dd8fb6845488436453e8b7382500c01a89921bc875010161f5188a"),
	(x"792800c0f0f39bc44467a8bda4f0286a697900d05a7ae0e03492270310a248eb"),
	(x"287400d0b000e93fb8808ebfd5a7780b474000d03ea78669084621ffa156d857"),
	(x"f5a900e015c918bb817de245f7ab18164a6e00c0b7ee39808d080a04b3e8a94e"),
	(x"a4f500f0553a6a407d9ac44786fc4877645700c0d3335f09b1dc0cf8021c39f2"),
	(x"db9000e071147e32bda9e4b9465f88aa350b00d093c02df24d3b2afa734b6993"),
	(x"8acc00f031e70cc9414ec2bb3708d8cb1b3200d0f71d4b7b71ef2c06c2bff92f"),
	(x"0b6300c05d94305f011aa3b876ed99aee8d600e03609dc7674c646005147098e"),
	(x"5a3f00d01d6742a4fdfd85ba07bac9cfc6ef00e052d4baff481240fce0b39932"),
	(x"255a00c0394956d63dcea544c719091297b300f01227c804b4f566fe91e4c953"),
	(x"740600d079ba242dc1298346b64e5973b98a00f076faae8d88216002201059ef"),
	(x"a9db00e0dc73d5a9f8d4efbc9442396eb4a400e0ffb311640d6f4bf932ae28f6"),
	(x"f88700f09c80a7520433c9bee515690f9a9d00e09b6e77ed31bb4d05835ab84a"),
	(x"87e200e0b8aeb320c400e94025b6a9d2cbc100f0db9d0516cd5c6b07f20de82b"),
	(x"d6be00f0f85dc1db38e7cf4254e1f9b3e5f800f0bf40639ff1886dfb43f97897")
    ),(
	(x"0000000000000000000000000000000000000000000000000000000000000000"),
	(x"c04e000133b9c010ae0ebb05b5a4c63bc8f100000b2de7826bf648a4539cbdbf"),
	(x"c8f100000b2de7826bf648a4539cbdbf08bf000138942792c5f8f3a1e6387b84"),
	(x"08bf000138942792c5f8f3a1e6387b84c04e000133b9c010ae0ebb05b5a4c63b"),
	(x"882300025fe7a7b399e585aa8d75f7f151ac000025e30f1479e22a4c1298bd46"),
	(x"486d00036c5e67a337eb3eaf38d131ca995d00002ecee896121462e8410400f9"),
	(x"40d2000254ca4031f213cd0edee94a4e591300011d772886bc1ad9edf4a0c6c2"),
	(x"809c0003677380215c1d760b6b4d8c7591e20001165acf04d7ec9149a73c7b7d"),
	(x"51ac000025e30f1479e22a4c1298bd46d98f00027a04a8a7e007afe69fed4ab7"),
	(x"91e20001165acf04d7ec9149a73c7b7d117e000271294f258bf1e742cc71f708"),
	(x"995d00002ecee896121462e8410400f9d130000342908f3525ff5c4779d53133"),
	(x"591300011d772886bc1ad9edf4a0c6c219c1000349bd68b74e0914e32a498c8c"),
	(x"d98f00027a04a8a7e007afe69fed4ab7882300025fe7a7b399e585aa8d75f7f1"),
	(x"19c1000349bd68b74e0914e32a498c8c40d2000254ca4031f213cd0edee94a4e"),
	(x"117e000271294f258bf1e742cc71f708809c0003677380215c1d760b6b4d8c75"),
	(x"d130000342908f3525ff5c4779d53133486d00036c5e67a337eb3eaf38d131ca"),
	(x"d00800048c768f779dc5b050af4a29da6ba9000040ebf9aa98321c3d76acc733"),
	(x"10460005bfcf4f6733cb0b551aeeefe1a35800004bc61e28f3c4549925307a8c"),
	(x"18f90004875b68f5f633f8f4fcd6946563160001787fde385dcaef9c9094bcb7"),
	(x"d8b70005b4e2a8e5583d43f14972525eabe70001735239ba363ca738c3080108"),
	(x"582b0006d39128c4042035fa223fde2b3a0500006508f6bee1d0367164347a75"),
	(x"98650007e028e8d4aa2e8eff979b1810f2f400006e25113c8a267ed537a8c7ca"),
	(x"90da0006d8bccf466fd67d5e71a3639432ba00015d9cd12c2428c5d0820c01f1"),
	(x"50940007eb050f56c1d8c65bc407a5affa4b000156b136ae4fde8d74d190bc4e"),
	(x"81a40004a9958063e4279a1cbdd2949cb22600023aef510d7835b3dbe9418d84"),
	(x"41ea00059a2c40734a292119087652a77ad7000231c2b68f13c3fb7fbadd303b"),
	(x"49550004a2b867e18fd1d2b8ee4e2923ba990003027b769fbdcd407a0f79f600"),
	(x"891b00059101a7f121df69bd5beaef18726800030956911dd63b08de5ce54bbf"),
	(x"09870006f67227d07dc21fb630a7636de38a00021f0c5e1901d79997fbd930c2"),
	(x"c9c90007c5cbe7c0d3cca4b38503a5562b7b00021421b99b6a21d133a8458d7d"),
	(x"c1760006fd5fc05216345712633bded2eb3500032798798bc42f6a361de14b46"),
	(x"01380007cee60042b83aec17d69f18e923c400032cb59e09afd922924e7df6f9"),
	(x"6ba9000040ebf9aa98321c3d76acc733bba10004cc9d76dd05f7ac6dd9e6eee9"),
	(x"abe70001735239ba363ca738c308010873500004c7b0915f6e01e4c98a7a5356"),
	(x"a35800004bc61e28f3c4549925307a8cb31e0005f409514fc00f5fcc3fde956d"),
	(x"63160001787fde385dcaef9c9094bcb77bef0005ff24b6cdabf917686c4228d2"),
	(x"e38a00021f0c5e1901d79997fbd930c2ea0d0004e97e79c97c158621cb7e53af"),
	(x"23c400032cb59e09afd922924e7df6f922fc0004e2539e4b17e3ce8598e2ee10"),
	(x"2b7b00021421b99b6a21d133a8458d7de2b20005d1ea5e5bb9ed75802d46282b"),
	(x"eb3500032798798bc42f6a361de14b462a430005dac7b9d9d21b3d247eda9594"),
	(x"3a0500006508f6bee1d0367164347a75622e0006b699de7ae5f0038b460ba45e"),
	(x"fa4b000156b136ae4fde8d74d190bc4eaadf0006bdb439f88e064b2f159719e1"),
	(x"f2f400006e25113c8a267ed537a8c7ca6a9100078e0df9e82008f02aa033dfda"),
	(x"32ba00015d9cd12c2428c5d0820c01f1a260000785201e6a4bfeb88ef3af6265"),
	(x"b22600023aef510d7835b3dbe9418d8433820006937ad16e9c1229c754931918"),
	(x"726800030956911dd63b08de5ce54bbffb730006985736ecf7e46163070fa4a7"),
	(x"7ad7000231c2b68f13c3fb7fbadd303b3b3d0007abeef6fc59eada66b2ab629c"),
	(x"ba990003027b769fbdcd407a0f79f600f3cc0007a0c3117e321c92c2e137df23"),
	(x"bba10004cc9d76dd05f7ac6dd9e6eee9d00800048c768f779dc5b050af4a29da"),
	(x"7bef0005ff24b6cdabf917686c4228d218f90004875b68f5f633f8f4fcd69465"),
	(x"73500004c7b0915f6e01e4c98a7a5356d8b70005b4e2a8e5583d43f14972525e"),
	(x"b31e0005f409514fc00f5fcc3fde956d10460005bfcf4f6733cb0b551aeeefe1"),
	(x"33820006937ad16e9c1229c75493191881a40004a9958063e4279a1cbdd2949c"),
	(x"f3cc0007a0c3117e321c92c2e137df2349550004a2b867e18fd1d2b8ee4e2923"),
	(x"fb730006985736ecf7e46163070fa4a7891b00059101a7f121df69bd5beaef18"),
	(x"3b3d0007abeef6fc59eada66b2ab629c41ea00059a2c40734a292119087652a7"),
	(x"ea0d0004e97e79c97c158621cb7e53af09870006f67227d07dc21fb630a7636d"),
	(x"2a430005dac7b9d9d21b3d247eda9594c1760006fd5fc05216345712633bded2"),
	(x"22fc0004e2539e4b17e3ce8598e2ee1001380007cee60042b83aec17d69f18e9"),
	(x"e2b20005d1ea5e5bb9ed75802d46282bc9c90007c5cbe7c0d3cca4b38503a556"),
	(x"622e0006b699de7ae5f0038b460ba45e582b0006d39128c4042035fa223fde2b"),
	(x"a260000785201e6a4bfeb88ef3af626590da0006d8bccf466fd67d5e71a36394"),
	(x"aadf0006bdb439f88e064b2f159719e150940007eb050f56c1d8c65bc407a5af"),
	(x"6a9100078e0df9e82008f02aa033dfda98650007e028e8d4aa2e8eff979b1810"),
	(x"a8ae00082079397dfe739301b8a92831171c0000b26e33449e6a837e58f8485f"),
	(x"68e0000913c0f96d507d28040d0dee0adfed0000b943d4c6f59ccbda0b64f5e0"),
	(x"605f00082b54deff9585dba5eb35958e1fa300018afa14d65b9270dfbec033db"),
	(x"a011000918ed1eef3b8b60a05e9153b5d752000181d7f3543064387bed5c8e64"),
	(x"208d000a7f9e9ece679616ab35dcdfc046b00000978d3c50e788a9324a60f519"),
	(x"e0c3000b4c275edec998adae807819fb8e4100009ca0dbd28c7ee19619fc48a6"),
	(x"e87c000a74b3794c0c605e0f6640627f4e0f0001af191bc222705a93ac588e9d"),
	(x"2832000b470ab95ca26ee50ad3e4a44486fe0001a434fc4049861237ffc43322"),
	(x"f9020008059a36698791b94daa319577ce930002c86a9be37e6d2c98c71502e8"),
	(x"394c00093623f679299f02481f95534c06620002c3477c61159b643c9489bf57"),
	(x"31f300080eb7d1ebec67f1e9f9ad28c8c62c0003f0febc71bb95df39212d796c"),
	(x"f1bd00093d0e11fb42694aec4c09eef30edd0003fbd35bf3d063979d72b1c4d3"),
	(x"7121000a5a7d91da1e743ce7274462869f3f0002ed8994f7078f06d4d58dbfae"),
	(x"b16f000b69c451cab07a87e292e0a4bd57ce0002e6a473756c794e7086110211"),
	(x"b9d0000a515076587582744374d8df3997800003d51db365c277f57533b5c42a"),
	(x"799e000b62e9b648db8ccf46c17c19025f710003de3054e7a981bdd160297995"),
	(x"78a6000cac0fb60a63b6235117e301eb7cb50000f285caee06589f432e548f6c"),
	(x"b8e8000d9fb6761acdb89854a247c7d0b4440000f9a82d6c6daed7e77dc832d3"),
	(x"b057000ca722518808406bf5447fbc54740a0001ca11ed7cc3a06ce2c86cf4e8"),
	(x"7019000d949b9198a64ed0f0f1db7a6fbcfb0001c13c0afea85624469bf04957"),
	(x"f085000ef3e811b9fa53a6fb9a96f61a2d190000d766c5fa7fbab50f3ccc322a"),
	(x"30cb000fc051d1a9545d1dfe2f323021e5e80000dc4b2278144cfdab6f508f95"),
	(x"3874000ef8c5f63b91a5ee5fc90a4ba525a60001eff2e268ba4246aedaf449ae"),
	(x"f83a000fcb7c362b3fab555a7cae8d9eed570001e4df05ead1b40e0a8968f411"),
	(x"290a000c89ecb91e1a54091d057bbcada53a000288816249e65f30a5b1b9c5db"),
	(x"e944000dba55790eb45ab218b0df7a966dcb000283ac85cb8da97801e2257864"),
	(x"e1fb000c82c15e9c71a241b956e70112ad850003b01545db23a7c3045781be5f"),
	(x"21b5000db1789e8cdfacfabce343c72965740003bb38a25948518ba0041d03e0"),
	(x"a129000ed60b1ead83b18cb7880e4b5cf4960002ad626d5d9fbd1ae9a321789d"),
	(x"6167000fe5b2debd2dbf37b23daa8d673c670002a64f8adff44b524df0bdc522"),
	(x"69d8000edd26f92fe847c413db92f6e3fc29000395f64acf5a45e94845190319"),
	(x"a996000fee9f393f46497f166e3630d834d800039edbad4d31b3a1ec1685bea6"),
	(x"c30700086092c0d766418f3cce05ef02acbd00047ef345999b9d2f13811ea6b6"),
	(x"03490009532b00c7c84f34397ba12939644c000475dea21bf06b67b7d2821b09"),
	(x"0bf600086bbf27550db7c7989d9952bda40200054667620b5e65dcb26726dd32"),
	(x"cbb800095806e745a3b97c9d283d94866cf300054d4a85893593941634ba608d"),
	(x"4b24000a3f756764ffa40a96437018f3fd1100045b104a8de27f055f93861bf0"),
	(x"8b6a000b0ccca77451aab193f6d4dec835e00004503dad0f89894dfbc01aa64f"),
	(x"83d5000a345880e69452423210eca54cf5ae000563846d1f2787f6fe75be6074"),
	(x"439b000b07e140f63a5cf937a54863773d5f000568a98a9d4c71be5a2622ddcb"),
	(x"92ab00084571cfc31fa3a570dc9d52447532000604f7ed3e7b9a80f51ef3ec01"),
	(x"52e5000976c80fd3b1ad1e756939947fbdc300060fda0abc106cc8514d6f51be"),
	(x"5a5a00084e5c28417455edd48f01effb7d8d00073c63caacbe627354f8cb9785"),
	(x"9a1400097de5e851da5b56d13aa529c0b57c0007374e2d2ed5943bf0ab572a3a"),
	(x"1a88000a1a966870864620da51e8a5b5249e00062114e22a0278aab90c6b5147"),
	(x"dac6000b292fa86028489bdfe44c638eec6f00062a3905a8698ee21d5ff7ecf8"),
	(x"d279000a11bb8ff2edb0687e0274180a2c2100071980c5b8c7805918ea532ac3"),
	(x"1237000b22024fe243bed37bb7d0de31e4d0000712ad223aac7611bcb9cf977c"),
	(x"130f000cece44fa0fb843f6c614fc6d8c71400043e18bc3303af332ef7b26185"),
	(x"d341000ddf5d8fb0558a8469d4eb00e30fe5000435355bb168597b8aa42edc3a"),
	(x"dbfe000ce7c9a822907277c832d37b67cfab0005068c9ba1c657c08f118a1a01"),
	(x"1bb0000dd47068323e7ccccd8777bd5c075a00050da17c23ada1882b4216a7be"),
	(x"9b2c000eb303e8136261bac6ec3a312996b800041bfbb3277a4d1962e52adcc3"),
	(x"5b62000f80ba2803cc6f01c3599ef7125e49000410d654a511bb51c6b6b6617c"),
	(x"53dd000eb82e0f910997f262bfa68c969e070005236f94b5bfb5eac30312a747"),
	(x"9393000f8b97cf81a79949670a024aad56f6000528427337d443a267508e1af8"),
	(x"42a3000cc90740b48266152073d77b9e1e9b0006441c1494e3a89cc8685f2b32"),
	(x"82ed000dfabe80a42c68ae25c673bda5d66a00064f31f316885ed46c3bc3968d"),
	(x"8a52000cc22aa736e9905d84204bc621162400077c88330626506f698e6750b6"),
	(x"4a1c000df1936726479ee68195ef001aded5000777a5d4844da627cdddfbed09"),
	(x"ca80000e96e0e7071b83908afea28c6f4f37000661ff1b809a4ab6847ac79674"),
	(x"0ace000fa5592717b58d2b8f4b064a5487c600066ad2fc02f1bcfe20295b2bcb"),
	(x"0271000e9dcd00857075d82ead3e31d047880007596b3c125fb245259cffedf0"),
	(x"c23f000fae74c095de7b632b189af7eb8f7900075246db9034440d81cf63504f"),
	(x"171c0000b26e33449e6a837e58f8485fbfb2000892170a396019107fe051606e"),
	(x"d752000181d7f3543064387bed5c8e6477430008993aedbb0bef58dbb3cdddd1"),
	(x"dfed0000b943d4c6f59ccbda0b64f5e0b70d0009aa832daba5e1e3de06691bea"),
	(x"1fa300018afa14d65b9270dfbec033db7ffc0009a1aeca29ce17ab7a55f5a655"),
	(x"9f3f0002ed8994f7078f06d4d58dbfaeee1e0008b7f4052d19fb3a33f2c9dd28"),
	(x"5f710003de3054e7a981bdd16029799526ef0008bcd9e2af720d7297a1556097"),
	(x"57ce0002e6a473756c794e7086110211e6a100098f6022bfdc03c99214f1a6ac"),
	(x"97800003d51db365c277f57533b5c42a2e500009844dc53db7f58136476d1b13"),
	(x"46b00000978d3c50e788a9324a60f519663d000ae813a29e801ebf997fbc2ad9"),
	(x"86fe0001a434fc4049861237ffc43322aecc000ae33e451cebe8f73d2c209766"),
	(x"8e4100009ca0dbd28c7ee19619fc48a66e82000bd087850c45e64c389984515d"),
	(x"4e0f0001af191bc222705a93ac588e9da673000bdbaa628e2e10049cca18ece2"),
	(x"ce930002c86a9be37e6d2c98c71502e83791000acdf0ad8af9fc95d56d24979f"),
	(x"0edd0003fbd35bf3d063979d72b1c4d3ff60000ac6dd4a08920add713eb82a20"),
	(x"06620002c3477c61159b643c9489bf573f2e000bf5648a183c0466748b1cec1b"),
	(x"c62c0003f0febc71bb95df39212d796cf7df000bfe496d9a57f22ed0d88051a4"),
	(x"c71400043e18bc3303af332ef7b26185d41b0008d2fcf393f82b0c4296fda75d"),
	(x"075a00050da17c23ada1882b4216a7be1cea0008d9d1141193dd44e6c5611ae2"),
	(x"0fe5000435355bb168597b8aa42edc3adca40009ea68d4013dd3ffe370c5dcd9"),
	(x"cfab0005068c9ba1c657c08f118a1a0114550009e14533835625b74723596166"),
	(x"4f37000661ff1b809a4ab6847ac7967485b70008f71ffc8781c9260e84651a1b"),
	(x"8f7900075246db9034440d81cf63504f4d460008fc321b05ea3f6eaad7f9a7a4"),
	(x"87c600066ad2fc02f1bcfe20295b2bcb8d080009cf8bdb154431d5af625d619f"),
	(x"47880007596b3c125fb245259cffedf045f90009c4a63c972fc79d0b31c1dc20"),
	(x"96b800041bfbb3277a4d1962e52adcc30d94000aa8f85b34182ca3a40910edea"),
	(x"56f6000528427337d443a267508e1af8c565000aa3d5bcb673daeb005a8c5055"),
	(x"5e49000410d654a511bb51c6b6b6617c052b000b906c7ca6ddd45005ef28966e"),
	(x"9e070005236f94b5bfb5eac30312a747cdda000b9b419b24b62218a1bcb42bd1"),
	(x"1e9b0006441c1494e3a89cc8685f2b325c38000a8d1b542061ce89e81b8850ac"),
	(x"ded5000777a5d4844da627cdddfbed0994c9000a8636b3a20a38c14c4814ed13"),
	(x"d66a00064f31f316885ed46c3bc3968d5487000bb58f73b2a4367a49fdb02b28"),
	(x"162400077c88330626506f698e6750b69c76000bbea29430cfc032edae2c9697"),
	(x"7cb50000f285caee06589f432e548f6c0413000c5e8a7ce465eebc1239b78e87"),
	(x"bcfb0001c13c0afea85624469bf04957cce2000c55a79b660e18f4b66a2b3338"),
	(x"b4440000f9a82d6c6daed7e77dc832d30cac000d661e5b76a0164fb3df8ff503"),
	(x"740a0001ca11ed7cc3a06ce2c86cf4e8c45d000d6d33bcf4cbe007178c1348bc"),
	(x"f4960002ad626d5d9fbd1ae9a321789d55bf000c7b6973f01c0c965e2b2f33c1"),
	(x"34d800039edbad4d31b3a1ec1685bea69d4e000c7044947277fadefa78b38e7e"),
	(x"3c670002a64f8adff44b524df0bdc5225d00000d43fd5462d9f465ffcd174845"),
	(x"fc29000395f64acf5a45e9484519031995f1000d48d0b3e0b2022d5b9e8bf5fa"),
	(x"2d190000d766c5fa7fbab50f3ccc322add9c000e248ed44385e913f4a65ac430"),
	(x"ed570001e4df05ead1b40e0a8968f411156d000e2fa333c1ee1f5b50f5c6798f"),
	(x"e5e80000dc4b2278144cfdab6f508f95d523000f1c1af3d14011e0554062bfb4"),
	(x"25a60001eff2e268ba4246aedaf449ae1dd2000f173714532be7a8f113fe020b"),
	(x"a53a000288816249e65f30a5b1b9c5db8c30000e016ddb57fc0b39b8b4c27976"),
	(x"65740003bb38a25948518ba0041d03e044c1000e0a403cd597fd711ce75ec4c9"),
	(x"6dcb000283ac85cb8da97801e2257864848f000f39f9fcc539f3ca1952fa02f2"),
	(x"ad850003b01545db23a7c3045781be5f4c7e000f32d41b47520582bd0166bf4d"),
	(x"acbd00047ef345999b9d2f13811ea6b66fba000c1e61854efddca02f4f1b49b4"),
	(x"6cf300054d4a85893593941634ba608da74b000c154c62cc962ae88b1c87f40b"),
	(x"644c000475dea21bf06b67b7d2821b096705000d26f5a2dc3824538ea9233230"),
	(x"a40200054667620b5e65dcb26726dd32aff4000d2dd8455e53d21b2afabf8f8f"),
	(x"249e00062114e22a0278aab90c6b51473e16000c3b828a5a843e8a635d83f4f2"),
	(x"e4d0000712ad223aac7611bcb9cf977cf6e7000c30af6dd8efc8c2c70e1f494d"),
	(x"ec6f00062a3905a8698ee21d5ff7ecf836a9000d0316adc841c679c2bbbb8f76"),
	(x"2c2100071980c5b8c7805918ea532ac3fe58000d083b4a4a2a303166e82732c9"),
	(x"fd1100045b104a8de27f055f93861bf0b635000e64652de91ddb0fc9d0f60303"),
	(x"3d5f000568a98a9d4c71be5a2622ddcb7ec4000e6f48ca6b762d476d836abebc"),
	(x"35e00004503dad0f89894dfbc01aa64fbe8a000f5cf10a7bd823fc6836ce7887"),
	(x"f5ae000563846d1f2787f6fe75be6074767b000f57dcedf9b3d5b4cc6552c538"),
	(x"7532000604f7ed3e7b9a80f51ef3ec01e799000e418622fd64392585c26ebe45"),
	(x"b57c0007374e2d2ed5943bf0ab572a3a2f68000e4aabc57f0fcf6d2191f203fa"),
	(x"bdc300060fda0abc106cc8514d6f51beef26000f7912056fa1c1d6242456c5c1"),
	(x"7d8d00073c63caacbe627354f8cb978527d7000f723fe2edca379e8077ca787e"),
	(x"bfb2000892170a396019107fe051606ea8ae00082079397dfe739301b8a92831"),
	(x"7ffc0009a1aeca29ce17ab7a55f5a655605f00082b54deff9585dba5eb35958e"),
	(x"77430008993aedbb0bef58dbb3cdddd1a011000918ed1eef3b8b60a05e9153b5"),
	(x"b70d0009aa832daba5e1e3de06691bea68e0000913c0f96d507d28040d0dee0a"),
	(x"3791000acdf0ad8af9fc95d56d24979ff9020008059a36698791b94daa319577"),
	(x"f7df000bfe496d9a57f22ed0d88051a431f300080eb7d1ebec67f1e9f9ad28c8"),
	(x"ff60000ac6dd4a08920add713eb82a20f1bd00093d0e11fb42694aec4c09eef3"),
	(x"3f2e000bf5648a183c0466748b1cec1b394c00093623f679299f02481f95534c"),
	(x"ee1e0008b7f4052d19fb3a33f2c9dd287121000a5a7d91da1e743ce727446286"),
	(x"2e500009844dc53db7f58136476d1b13b9d0000a515076587582744374d8df39"),
	(x"26ef0008bcd9e2af720d7297a1556097799e000b62e9b648db8ccf46c17c1902"),
	(x"e6a100098f6022bfdc03c99214f1a6acb16f000b69c451cab07a87e292e0a4bd"),
	(x"663d000ae813a29e801ebf997fbc2ad9208d000a7f9e9ece679616ab35dcdfc0"),
	(x"a673000bdbaa628e2e10049cca18ece2e87c000a74b3794c0c605e0f6640627f"),
	(x"aecc000ae33e451cebe8f73d2c2097662832000b470ab95ca26ee50ad3e4a444"),
	(x"6e82000bd087850c45e64c389984515de0c3000b4c275edec998adae807819fb"),
	(x"6fba000c1e61854efddca02f4f1b49b4c30700086092c0d766418f3cce05ef02"),
	(x"aff4000d2dd8455e53d21b2afabf8f8f0bf600086bbf27550db7c7989d9952bd"),
	(x"a74b000c154c62cc962ae88b1c87f40bcbb800095806e745a3b97c9d283d9486"),
	(x"6705000d26f5a2dc3824538ea923323003490009532b00c7c84f34397ba12939"),
	(x"e799000e418622fd64392585c26ebe4592ab00084571cfc31fa3a570dc9d5244"),
	(x"27d7000f723fe2edca379e8077ca787e5a5a00084e5c28417455edd48f01effb"),
	(x"2f68000e4aabc57f0fcf6d2191f203fa9a1400097de5e851da5b56d13aa529c0"),
	(x"ef26000f7912056fa1c1d6242456c5c152e5000976c80fd3b1ad1e756939947f"),
	(x"3e16000c3b828a5a843e8a635d83f4f21a88000a1a966870864620da51e8a5b5"),
	(x"fe58000d083b4a4a2a303166e82732c9d279000a11bb8ff2edb0687e0274180a"),
	(x"f6e7000c30af6dd8efc8c2c70e1f494d1237000b22024fe243bed37bb7d0de31"),
	(x"36a9000d0316adc841c679c2bbbb8f76dac6000b292fa86028489bdfe44c638e"),
	(x"b635000e64652de91ddb0fc9d0f603034b24000a3f756764ffa40a96437018f3"),
	(x"767b000f57dcedf9b3d5b4cc6552c53883d5000a345880e69452423210eca54c"),
	(x"7ec4000e6f48ca6b762d476d836abebc439b000b07e140f63a5cf937a5486377"),
	(x"be8a000f5cf10a7bd823fc6836ce78878b6a000b0ccca77451aab193f6d4dec8"),
	(x"d41b0008d2fcf393f82b0c4296fda75d130f000cece44fa0fb843f6c614fc6d8"),
	(x"14550009e14533835625b74723596166dbfe000ce7c9a822907277c832d37b67"),
	(x"1cea0008d9d1141193dd44e6c5611ae21bb0000dd47068323e7ccccd8777bd5c"),
	(x"dca40009ea68d4013dd3ffe370c5dcd9d341000ddf5d8fb0558a8469d4eb00e3"),
	(x"5c38000a8d1b542061ce89e81b8850ac42a3000cc90740b48266152073d77b9e"),
	(x"9c76000bbea29430cfc032edae2c96978a52000cc22aa736e9905d84204bc621"),
	(x"94c9000a8636b3a20a38c14c4814ed134a1c000df1936726479ee68195ef001a"),
	(x"5487000bb58f73b2a4367a49fdb02b2882ed000dfabe80a42c68ae25c673bda5"),
	(x"85b70008f71ffc8781c9260e84651a1bca80000e96e0e7071b83908afea28c6f"),
	(x"45f90009c4a63c972fc79d0b31c1dc200271000e9dcd00857075d82ead3e31d0"),
	(x"4d460008fc321b05ea3f6eaad7f9a7a4c23f000fae74c095de7b632b189af7eb"),
	(x"8d080009cf8bdb154431d5af625d619f0ace000fa5592717b58d2b8f4b064a54"),
	(x"0d94000aa8f85b34182ca3a40910edea9b2c000eb303e8136261bac6ec3a3129"),
	(x"cdda000b9b419b24b62218a1bcb42bd153dd000eb82e0f910997f262bfa68c96"),
	(x"c565000aa3d5bcb673daeb005a8c50559393000f8b97cf81a79949670a024aad"),
	(x"052b000b906c7ca6ddd45005ef28966e5b62000f80ba2803cc6f01c3599ef712"),
	(x"0413000c5e8a7ce465eebc1239b78e8778a6000cac0fb60a63b6235117e301eb"),
	(x"c45d000d6d33bcf4cbe007178c1348bcb057000ca722518808406bf5447fbc54"),
	(x"cce2000c55a79b660e18f4b66a2b33387019000d949b9198a64ed0f0f1db7a6f"),
	(x"0cac000d661e5b76a0164fb3df8ff503b8e8000d9fb6761acdb89854a247c7d0"),
	(x"8c30000e016ddb57fc0b39b8b4c27976290a000c89ecb91e1a54091d057bbcad"),
	(x"4c7e000f32d41b47520582bd0166bf4de1fb000c82c15e9c71a241b956e70112"),
	(x"44c1000e0a403cd597fd711ce75ec4c921b5000db1789e8cdfacfabce343c729"),
	(x"848f000f39f9fcc539f3ca1952fa02f2e944000dba55790eb45ab218b0df7a96"),
	(x"55bf000c7b6973f01c0c965e2b2f33c1a129000ed60b1ead83b18cb7880e4b5c"),
	(x"95f1000d48d0b3e0b2022d5b9e8bf5fa69d8000edd26f92fe847c413db92f6e3"),
	(x"9d4e000c7044947277fadefa78b38e7ea996000fee9f393f46497f166e3630d8"),
	(x"5d00000d43fd5462d9f465ffcd1748456167000fe5b2debd2dbf37b23daa8d67"),
	(x"dd9c000e248ed44385e913f4a65ac430f085000ef3e811b9fa53a6fb9a96f61a"),
	(x"1dd2000f173714532be7a8f113fe020b3874000ef8c5f63b91a5ee5fc90a4ba5"),
	(x"156d000e2fa333c1ee1f5b50f5c6798ff83a000fcb7c362b3fab555a7cae8d9e"),
	(x"d523000f1c1af3d14011e0554062bfb430cb000fc051d1a9545d1dfe2f323021")
    )
);


end hamsipkg;

Generated on Fri Sep 24 10:39:12 CEST 2010
Home