------------------------------------------------------------
-- Copyright: 2010 Integrated Sytems Laboratory, ETH Zurich
--            http://www.iis.ee.ethz.ch/~sha3
------------------------------------------------------------
library ieee;
use ieee.std_logic_textio.all;
use ieee.std_logic_1164.all;

package luffapkg is

constant HWIDTH : integer := 256;
constant STATEWIDTH : integer := 3;

type const is array (0 to 5) of std_logic_vector(31 downto 0);
type constcouple is array (0 to 1) of std_logic_vector(31 downto 0);
type state is array (0 to 23) of std_logic_vector(31 downto 0);
type data is array (0 to 7) of std_logic_vector(31 downto 0);
type halfdata is array (0 to 3) of std_logic_vector(31 downto 0);
type mixdata is array (0 to 1) of std_logic_vector(31 downto 0);


constant C0 : const := (x"181cca53",x"380cde06",x"5b6f0876",x"f16f8594",x"7e106ce9",x"38979cb0");

constant IV : state := (x"6d251e69",x"44b051e0",x"4eaa6fb4",x"dbf78465",x"6e292011",x"90152df4",
                        x"ee058139",x"def610bb",x"c3b44b95",x"d9d2f256",x"70eee9a0",x"de099fa3",
                        x"5d9b0557",x"8fc944b3",x"cf1ccf0e",x"746cd581",x"f7efc89d",x"5dba5781",
                        x"04016ce5",x"ad659c05",x"0306194f",x"666d1836",x"24aa230a",x"8b264ae7");





end luffapkg;   

Generated on Fri Sep 24 10:39:12 CEST 2010
Home