# Version:1.0 MMMC View Definition File

# v0.1 -  - Fri Oct  7 10:46:01 CEST 2011
#  - for ading the typical libs and cornner.

# Create library sets
create_library_set -name typical_libs -timing {tech/lib/u065gioll25mvir_25_tc.lib tech/lib/uk65lscllmvbbl_120c25_tc.lib  tech/lib/uk65lscllmvbbr_120c25_tc.lib  tech/lib/uk65lscllmvbbh_120c25_tc.lib  tech/lib/SHKA65_16384X32X1CM16_TC.lib tech/lib/SHKA65_2048X32X1CM4_TC.lib tech/lib/SYKA65_2048X32X1CM8_TC.lib}

# RC corners
create_rc_corner -name rc_typical -cap_table tech/u65ll_TYPICAL.captbl

# Delay corners
create_delay_corner -name typical_corn -library_set typical_libs -rc_corner rc_typical

# {dummy ethz_blake ethz_groestl ethz_jh ethz_keccak ethz_sha2 ethz_skein gmu_blake gmu_groestl gmu_jh gmu_keccak gmu_sha2 gmu_skein ram1 ram2 ram3 test } {

set typ_view_list {}
foreach mode {dummy ethz_blake ethz_groestl ethz_jh ethz_keccak ethz_sha2 ethz_skein gmu_blake gmu_groestl gmu_jh gmu_keccak gmu_sha2 gmu_skein test} {
  # Analysis views
  create_analysis_view -name ${mode}_typ_view -constraint_mode ${mode}_mode -delay_corner typical_corn
  lappend typ_view_list ${mode}_typ_view
}

   
# Specify analysis views to use
#set_analysis_view -setup $typ_view_list -hold {hold_fast_view}




Generated on Tue Nov 22 15:16:34 CET 2011
Home