# Version:1.0 MMMC View Definition File

# Create library sets
#create_library_set -name typical_libs -timing {tech/lib/u065gioll25mvir_25_tc.lib tech/lib/uk65lscllmvbbl_120c25_tc.lib  tech/lib/uk65lscllmvbbr_120c25_tc.lib  tech/lib/uk65lscllmvbbh_120c25_tc.lib  tech/lib/SHKA65_16384X32X1CM16_TC.lib tech/lib/SHKA65_2048X32X1CM4_TC.lib tech/lib/SYKA65_2048X32X1CM8_TC.lib}
create_library_set -name best_libs    -timing {tech/lib/u065gioll25mvir_25_bc.lib tech/lib/uk65lscllmvbbl_132c0_bc.lib   tech/lib/uk65lscllmvbbr_132c0_bc.lib   tech/lib/uk65lscllmvbbh_132c0_bc.lib   tech/lib/SHKA65_16384X32X1CM16_BC.lib tech/lib/SHKA65_2048X32X1CM4_BC.lib tech/lib/SYKA65_2048X32X1CM8_BC.lib}
create_library_set -name worst_libs   -timing {tech/lib/u065gioll25mvir_25_wc.lib tech/lib/uk65lscllmvbbl_108c125_wc.lib tech/lib/uk65lscllmvbbr_108c125_wc.lib tech/lib/uk65lscllmvbbh_108c125_wc.lib tech/lib/SHKA65_16384X32X1CM16_WC.lib tech/lib/SHKA65_2048X32X1CM4_WC.lib tech/lib/SYKA65_2048X32X1CM8_WC.lib}

# RC corners
#create_rc_corner -name rc_typical -cap_table tech/u65ll_TYPICAL.captbl
create_rc_corner -name rc_worst   -cap_table tech/u65ll_RCMAX.captbl
create_rc_corner -name rc_best    -cap_table tech/u65ll_RCMIN.captbl

# Delay corners
#create_delay_corner -name typical_corn -library_set typical_libs -rc_corner rc_typical
create_delay_corner -name best_corn    -library_set best_libs    -rc_corner rc_best
create_delay_corner -name worst_corn   -library_set worst_libs   -rc_corner rc_worst

set view_list {}
foreach mode {dummy ethz_blake ethz_groestl ethz_jh ethz_keccak ethz_sha2 ethz_skein gmu_blake gmu_groestl gmu_jh gmu_keccak gmu_sha2 gmu_skein ram1 ram2 ram3 test } {
  # Constraint mode
  create_constraint_mode -name ${mode}_mode -sdc_files [list src/shabziger_mmmc_${mode}.sdc \
                                                             src/shabziger_mmmc_shared.sdc ]   
  # Analysis views
  create_analysis_view -name ${mode}_slow_view -constraint_mode ${mode}_mode -delay_corner worst_corn
  lappend view_list ${mode}_slow_view
}

create_analysis_view -name hold_fast_view -constraint_mode test_mode -delay_corner best_corn
   
# Specify analysis views to use
set_analysis_view -setup $view_list -hold {hold_fast_view}







Generated on Tue Nov 22 15:16:34 CET 2011
Home