create_clock -period 4 [get_ports {ClkxCI}] set_propagated_clock [get_ports {ClkxCI}] set_case_analysis 0 [get_ports {ClkDxCI}] set_case_analysis 0 [get_ports {FuncScanEnxTI}] set_case_analysis 0 [get_ports {CoreScanEnxTI}] ## The following are our test inputs, they are not required during the normal ## mode. set_false_path -from [get_ports {FuncScanEnxTI}] set_false_path -from [get_ports {CoreScanEnxTI}]