## I/O timing
set_input_delay   -1.0 -clock {ClkxCI} [remove_from_collection [all_inputs] [get_ports {ClkxCI}]]
## taking it really extreme 
set_output_delay -7.0 -clock {ClkxCI} [all_outputs]

set_input_transition 0.2 [all_inputs]
set_drive 0.02   [all_inputs]
set_load 10.0 [all_outputs]

set_case_analysis 1 [get_ports {PadOutEnxSI}]
set_case_analysis 0 [get_ports {PadDrive1xSI}]
set_case_analysis 1 [get_ports {PadDrive2xSI}]
set_case_analysis 0 [get_ports {PadSlewxSI}]

## to set the in2out path to false
set_false_path -from [get_ports {OutSelxSI*}]

Generated on Tue Nov 22 15:16:34 CET 2011
Home