create_clock -period  20 [get_ports {ClkxCI}]
set_propagated_clock [get_ports {ClkxCI}]

set_case_analysis 0 ClkDxCI


Generated on Tue Nov 22 15:16:34 CET 2011
Home